xibu1102007 发表于 2011-8-31 10:39:04

VHDL 产生M序列 求大虾帮忙

电子设计,希望各位给个想法

xibu1102007 发表于 2011-8-31 10:56:30

没有高人帮忙吗?用DFF做很简单,可是要求是用移位寄存器做啊

caizijian 发表于 2011-8-31 16:30:55

电子设计大赛的吧~~

“同学我是组委会的,不要挣扎了,自己做吧....”

gzhuli 发表于 2011-8-31 17:31:12

M序列不就是移位寄存器做的吗?

tianbianzhu 发表于 2011-8-31 18:24:21

M序列就是按照特征多项式做出反馈逻辑就行了
页: [1]
查看完整版本: VHDL 产生M序列 求大虾帮忙