xlfarm 发表于 2011-6-14 08:51:45

求助:modelsim问题Error: Failure to obtain a Verilog simulation license

昨天晚上破_解的ModelSim-Altera 6.6d (Quartus II 10.1sp1),今天用的时候却发现有错误提示Error: Failure to obtain a Verilog simulation license. Unable to checkout any of these license features: alteramtivsim or alteramtivlog.
我是按网上的方法破_解的
1.运行make.bat,产生license.dat文件
2.设定环境变量 LM_LICENSE_FILE
3.覆盖拷贝mgls.dll
不知道怎么出错的,希望帮忙解决下。谢谢!

zhangyan8600 发表于 2011-6-14 12:23:08

我的也是,笔记本出现了这个问题,台式机能使用。

xlfarm 发表于 2011-6-15 00:06:19

回复【1楼】zhangyan8600
-----------------------------------------------------------------------

呵呵,这么纠结。不过我重新破_解了一遍,没问题了,好像是路径名中的文件夹名不能含有下横杠。

chahu1227 发表于 2011-9-8 16:20:15

我也出现过这样的情况,后来我在用户变量中也设置了LM_LICENSE_FILE后,就可以了

lanyanyinmeng 发表于 2012-10-7 22:15:40

chahu1227 发表于 2011-9-8 16:20 static/image/common/back.gif
我也出现过这样的情况,后来我在用户变量中也设置了LM_LICENSE_FILE后,就可以了 ...

用户变量不就是在环境变量中的么。。。表示还是不好使啊。。

rx_78gp02a 发表于 2012-10-7 23:48:55

mentor软件请使用MGLS_LICENSE_FILE环境变量

flyaudio 发表于 2013-6-21 08:50:08

想问一下,你的crack 破解了之后,对于vhdl语言,能仿真吗?

我modelsim的版本跟你一样,可我破解了之后,只能仿真verilog,不能仿真vhdl

lu976046395 发表于 2013-11-4 17:25:32

把那个系统时间改为2007 再生成license试试,之后都是一样的

josh0515 发表于 2015-12-15 11:24:24

lu976046395 发表于 2013-11-4 17:25
把那个系统时间改为2007 再生成license试试,之后都是一样的

将时间改过之后确实可以了。谢谢啦。我使用的是QUartusII9.1,win764

lu976046395 发表于 2016-1-11 20:12:25

josh0515 发表于 2015-12-15 11:24
将时间改过之后确实可以了。谢谢啦。我使用的是QUartusII9.1,win764

客气                           
页: [1]
查看完整版本: 求助:modelsim问题Error: Failure to obtain a Verilog simulation license