Comedian 发表于 2011-6-5 17:07:01

我也来发一个用FPGA驱动VGA

注意:以下内容可能引起高手极度不适,敬请原谅!

环境:Altera CycloneII,Ubuntu 10.10,Quartus II,Gtkterm,TexLive。
实现功能:从计算机通过串口发数据到FPGA,FPGA内部处理后转换成VGA信号,把这个数据对应的字符从显示器输出。能够显示大小写字母、数字以及标点符号。能够实现向上卷动显示,有换行处理。FPGA内部包含了UART以及VGA两个模块,可分开单独使用。
先上图
http://cache.amobbs.com/bbs_upload782111/files_40/ourdev_645957AGMVC5.jpg
(原文件名:1.jpg)
http://cache.amobbs.com/bbs_upload782111/files_40/ourdev_645958G0H8QE.jpg
(原文件名:2.jpg)

http://cache.amobbs.com/bbs_upload782111/files_40/ourdev_645960TOT5F9.jpg
(原文件名:3.jpg)


用于自己的项目(在FPGA平台上搭建了OR1200 SOC,并移植Linux,Linux的启动信息就是通过我设计的这个VGA模块输出到显示器的):
http://cache.amobbs.com/bbs_upload782111/files_40/ourdev_645961TKQBHO.jpg
从SD Card拷贝Linux镜像到SDRAM (原文件名:4.jpg)

http://cache.amobbs.com/bbs_upload782111/files_40/ourdev_645962SU3BPN.jpg
Linux开始启动 (原文件名:5.jpg)

http://cache.amobbs.com/bbs_upload782111/files_40/ourdev_645963L9LXXO.jpg
Linux在启动过程中卡住了,至今未解决 (原文件名:6.jpg)

http://cache.amobbs.com/bbs_upload782111/files_40/ourdev_645964EPHCNJ.jpg
实验环境 (原文件名:7.jpg)

下面是代码以及论文:
Verilog工程ourdev_645965LBAP9P.rar(文件大小:2.45M) (原文件名:uart_to_vga.rar)

论文以及Latex源文件ourdev_645966EQSYQJ.rar(文件大小:4.14M) (原文件名:VGA IP Core设计与实现.rar)

论文截图:
http://cache.amobbs.com/bbs_upload782111/files_40/ourdev_645967DB0YXW.jpg
(原文件名:1.jpg)

http://cache.amobbs.com/bbs_upload782111/files_40/ourdev_645968L3RZ1F.jpg
(原文件名:2.jpg)

http://cache.amobbs.com/bbs_upload782111/files_40/ourdev_645976FSOY4M.jpg
(原文件名:3.jpg)

kenny17 发表于 2011-6-5 17:21:00

不错哦,我也做过,不过我做得很简单的,也能显示中文和简单的图像

voval 发表于 2011-6-5 18:02:34

进来顶楼主

ababvic 发表于 2011-6-5 20:17:52

mark

huzcmail 发表于 2011-6-5 20:37:03

823032003 发表于 2011-6-5 20:40:50

bucuoxuexi..

jielove2003 发表于 2011-6-5 20:56:03

进来学习

xuzhengan123 发表于 2011-6-5 21:51:56

很有用,写的不错

xiaoniu 发表于 2011-6-5 23:28:14

mark

wxws 发表于 2011-6-5 23:47:31

Mark

billowtust 发表于 2011-6-6 00:34:24

顶LZ

281229961 发表于 2011-6-6 00:43:00

mark 学习

rafd 发表于 2011-6-6 01:13:59

支持

252514251 发表于 2011-6-6 08:20:25

楼主v5

wzh6328 发表于 2011-6-6 08:52:54

不错,学习一下

zhangxin0804 发表于 2011-6-6 11:03:37

mark

taoriran 发表于 2011-6-6 11:45:36

谢谢!很好!

Tomas_Yung 发表于 2011-6-6 19:17:35

东西不错!

nison 发表于 2011-6-6 21:26:36

mark

test007 发表于 2011-6-6 23:22:49

不错不错,前排占座学习Ing...

root 发表于 2011-6-7 06:32:34

mark

Comedian 发表于 2011-6-7 10:06:33

我也是有裤子的人了http://cache.amobbs.com/bbs_upload782111/files_40/ourdev_646479BNLYT0.gif

caizijian 发表于 2011-6-7 16:24:12

图像是二值的还是可以显示图片啊?

yu_wen 发表于 2011-6-7 16:29:25

mark

lsea 发表于 2011-6-7 17:03:00

绝对的牛a 与牛c之间

Comedian 发表于 2011-6-7 17:10:42

回复【22楼】caizijian
-----------------------------------------------------------------------

我写的这个跟其他一些VGA驱动有点不同,不是为了显示图像,只是为了显示文本。能向上卷动,能换行。用Altera ram做显存。直接向altera ram里面写数据,就能在对应位置显示文本了。

比如,有一个项目,信息都从串口输出,用串口调试助手看信息。如果用这个VGA驱动,再接上一个UART模块(我上传的工程里面就包括了),就能把信息从任何一个显示器输出看到了。显示完一屏后,屏幕上面的所有行向上卷动一次后,继续显示。能换行。

写这个VGA驱动的初衷是:我做一个项目,要把Linux移植到一个SOC平台上,Linux的启动信息原来都是从串口输出的,我写了这个VGA驱动,在FPGA内部处理后直接把Linux启动信息从VGA输出到显示器了(可以看一下楼主位的图片)。看起来就相当于一台电脑,FPGA板子是主机,跑的是Linux,还有显示器(目前还没接入键盘)。当然OpenCores上面有更加完美的VGA IP Core,但是我没用那个。

我认为这个设计的难点在于向上卷动以及换行处理(至少对于我来说),其他部分还是很容易实现的。

caizijian 发表于 2011-6-7 20:31:48

哦 好东西啊!估计还可以加个换字体的功能~
支持中文就更酷了

Comedian 发表于 2011-6-7 20:57:18

回复【26楼】caizijian
-----------------------------------------------------------------------

对!你说的很对!
其实我写的这个VGA IP Core还远远不够。
应该设计一个初始化阶段,在初始化阶段向IP Core内部控制寄存器写入控制字,实现字体、颜色、大小等等性质的切换,那样就比较完美了。

支持中文我也想过,但是要支持中文,还要实现输入法,目前还没有想法,不知道实现起来复不复杂。

由于时间有限,现在还有很多其他的事情要做,我就没来得及做了。

以后有时间的话,我还是很想把这些想法一一实现的。看过你发的帖子,个个是精品啊!以后有问题还要向你请教!!!!

avrwoo 发表于 2011-6-7 21:46:16

MARK

416446891 发表于 2011-6-7 22:18:24

MARK

avrstm32 发表于 2011-6-7 23:05:33

MARK

necho 发表于 2011-6-8 00:20:21

mark

zoto 发表于 2011-6-8 07:08:29

赞 赞

Nuist_Gwgj 发表于 2011-6-8 14:57:48

mark 学习了

mixiegen 发表于 2011-6-8 17:59:25

mark

mcsky 发表于 2011-6-9 17:00:47

感谢,希望有空能多交流

lanseiboy 发表于 2011-6-14 18:34:30

mark~

wanglemima 发表于 2011-6-15 14:16:19

mark

avrstm32 发表于 2011-6-16 14:42:38

mark

rundut 发表于 2011-7-4 10:58:34

mark

jyjmaster 发表于 2011-7-4 11:28:35

mark==

rowen2008 发表于 2011-7-4 11:49:08

感谢,希望有空能多交流

l1228 发表于 2011-7-4 14:39:44

MARK

yundanfengqing 发表于 2011-7-4 19:46:45

很不错 顶楼主 缺憾的是没有注释

jingyuzuo 发表于 2011-7-4 19:53:06

mark

milestone2010 发表于 2011-7-4 20:31:46

学习了!!!!!!

cpfdianzi 发表于 2011-7-4 23:30:51

好贴!楼主真厉害!

xlz_zhang 发表于 2011-7-7 11:37:07

留着,到时用的到

hmanhcc07 发表于 2011-7-9 16:48:49

来mark顶楼主

Bicycle 发表于 2011-7-9 17:32:26

进来围观

algebra 发表于 2011-7-9 19:56:21

FPGA驱动VGA

ALTERA_WLB 发表于 2011-7-24 19:14:30

mark!!

hwdpaley 发表于 2011-7-25 11:02:40

很好,这个一定要顶!

sagetom 发表于 2011-7-26 17:23:12

做一下标记,艰苦奋斗!

chenming1989 发表于 2011-8-5 16:02:28

电脑也不过如此

caodingguang 发表于 2011-8-8 16:53:30

围观楼主 牛逼死了

mzbhy 发表于 2011-8-8 17:11:55

LZ的Latex是自学的还怎样?有没有比较好的入门书?

jsgbmi 发表于 2011-8-8 19:45:16

学习 mark

635656623 发表于 2011-8-9 16:56:36

MARK

dzmcs 发表于 2011-8-9 17:22:35

mark vga

sain_1989 发表于 2011-8-9 22:56:39

居然还是用latex写的。。。看来lz治学严谨啊。。。

Comedian 发表于 2011-8-10 09:01:19

回复【58楼】mzbhy饼饼
-----------------------------------------------------------------------

上传几个
点击此处下载 ourdev_666077BXVPXU.pdf(文件大小:1.87M) (原文件名:LaTeX2e插图指南.pdf)
点击此处下载 ourdev_666078JNWBDK.pdf(文件大小:1.66M) (原文件名:大家来学Latex.pdf)
点击此处下载 ourdev_666079RAHE7D.pdf(文件大小:1.89M) (原文件名:Tex简短说明4.2.pdf)

还有一个论坛bbs.ctex.org。
可以google,site:bbs.ctex.org 问题。

heibaogame 发表于 2011-8-14 16:41:30

学习

cx4359481 发表于 2011-8-18 02:04:39

学习 学习

wuyya 发表于 2011-8-25 22:28:11

mark

tianbianzhu 发表于 2011-8-29 13:30:09

相见恨晚啊

xtqxtq111 发表于 2011-8-29 16:47:40

写mark就能做标记吗?怎么都是mark

kongguzcq 发表于 2011-8-30 18:52:42

强大

benckey 发表于 2011-9-2 13:24:44

回复【楼主位】Comedian
-----------------------------------------------------------------------

MARK FPGA VGA

slzxriver 发表于 2011-9-2 13:58:53

mark

Spunky 发表于 2011-9-2 15:59:41

mark

dhy13 发表于 2011-9-2 16:37:34

不错~

zlgyp 发表于 2011-10-11 13:05:06

学习 现在正在做这个

zhanshenguilai 发表于 2011-10-14 15:31:16

mark

justahu 发表于 2011-10-15 13:22:26

我也在做一个EP2C5Q208C8N的fpga板子,pcb马上画完了,到时候写程序的时候向楼主请教。

Forever 发表于 2011-10-15 15:14:02

顶了

qq20707 发表于 2011-10-20 09:16:16

mark了

ababvic 发表于 2011-10-24 22:10:55

mark了

xiaoyan5300 发表于 2011-10-30 15:36:01

好想玩

majk1990 发表于 2011-11-6 11:03:33

mark

tejorge 发表于 2011-12-4 22:20:36

顶楼主,学习了!!

zhoudm 发表于 2011-12-12 14:18:55

谁有FPGA实现IEEE1588、PCIe接口的软核?先谢谢!

newselect 发表于 2011-12-13 15:28:34

漂亮,MASK

gaoyukun 发表于 2011-12-14 00:49:52

mark!!漂亮的VGA,还有latex

bingshuihuo888 发表于 2011-12-14 14:26:41

轻轻的顶你一下!

tongluren 发表于 2011-12-16 11:21:01

mARK!~

tianming 发表于 2011-12-16 11:45:23

顶~~~

SPARTAN-6 发表于 2011-12-16 15:40:55

mark

mengguren 发表于 2011-12-18 02:53:26

非常期待能够快速入门

ye_song 发表于 2011-12-20 20:03:22

mark

517650971 发表于 2011-12-21 22:08:59

博创。。。

abcabc2356 发表于 2011-12-22 20:12:53

顶起

Lucky_Lucky 发表于 2012-1-18 20:14:31

楼主,没有论文内容啊?

mcu1815 发表于 2012-1-18 21:02:47

mark

ssqluck 发表于 2012-1-19 13:03:07

mark 学习一下

dytdyt2010 发表于 2012-3-10 17:19:11

mark~~

qzboy 发表于 2012-3-24 15:51:56

看了这个 才明白自己对vga的应用太有局限了

lkl10800139 发表于 2012-3-29 23:55:55

酷帖。赞一个。

莫文杰 发表于 2012-4-5 22:43:30

{:lol:}mark

mysunmax 发表于 2012-4-19 16:58:55

mark~~~~~~~~~
页: [1] 2
查看完整版本: 我也来发一个用FPGA驱动VGA