quray 发表于 2011-4-28 19:18:13

怎样将输入16位的并行数据转换成8位并行数据输出

如题,先谢过了。
输入的是16位并行数据,我想把它转换成8位的并行数据,不知道该如何做。

rock_man 发表于 2011-4-28 19:19:21

输出两次8位不就行了?

jiaowoxiaolu 发表于 2011-4-28 19:22:30

u16 a;
u8 b,c;
b=a;    //L byter
c=(a>>8);//H byter

quray 发表于 2011-4-28 22:15:32

我用vhdl写程序,是不是得用计数器啊?

xivisi 发表于 2011-4-28 22:18:48

这输入的数据 有的时钟的啊,根据时钟来就好,不过需要缓存数据

quray 发表于 2011-4-28 22:21:48

回复【4楼】xivisi LiYong
-----------------------------------------------------------------------

你好。
我不明白你说的意思,能说详细些吗?

kinsno 发表于 2011-4-28 22:41:26

4楼已经说的很明白了,兄弟。要不你把你的前因后果,说详细点,而不是简单地说把输入16位转成8位输出。

quray 发表于 2011-4-28 22:58:38

我用sopc控制ad做数据采集,sopc上面有两个网卡,有若干块sopc板通过网络相连,然后数据通过网线一级一级传到最顶端。
而在最顶端呢,有两个sopc间通过lvds进行数据传输,lvds这部分用的是曼彻斯特编码,因为以前写的编码部分都是用的8位的数据,不想再改动,而网络的数据都是16位的。所以中间必须将16位转换成8位的数据。另外lvds数据传输部分的速率是50M。大体就是这个样子。
是不是数据读出来必须进缓存,然后再从缓存中读出数据改成8位的?
页: [1]
查看完整版本: 怎样将输入16位的并行数据转换成8位并行数据输出