lslong 发表于 2011-4-11 15:01:39

用quartus2进行仿真,程序代码是读取ram中的值。ram已经进行初始化,但读出的数据总是和

用quartus2进行仿真,程序代码是读取ram中的值。ram已经进行初始化,但读出的数据总是和ram中的不一样。还请前辈帮忙!以下是程序的源代码。
module read_sram(clk,rstn,data);
input clk,rstn;
output data;
reg data;
reg adr;
wire wire_0;
always@(posedge clk or negedge rstn) begin
        if(!rstn) begin
                data<=8'b0;
                adr<=0;
        end
        else if(adr>=0 && adr<64) begin
                adr<=adr+6'b000001;
                data<=wire_0;
        end
end
sram sram(.clock(clk),.data(8'bzzzzzzzz),.rdaddress(adr),.rden(rstn),.wraddress(6'bzzzzzz),.wren(1'b0),.q(wire_0));
endmodule
页: [1]
查看完整版本: 用quartus2进行仿真,程序代码是读取ram中的值。ram已经进行初始化,但读出的数据总是和