benjam871020 发表于 2011-2-26 23:34:27

求助:quartus如何在程序中初始化RAM

请问,在quartus中如何在程序中初始化RAM,不是用.hex或.mif文件初始化!!!!?????
页: [1]
查看完整版本: 求助:quartus如何在程序中初始化RAM