sdu1028 发表于 2011-2-16 10:36:10

数字摄像头ov7670_通过FPGA将图像实时发送到VGA显示器

spartan3E 的FPGA

单片机用mega32,主要是用来解析SD卡的fat32文件系统的,还有就是初始化摄像头,tft,这个参照了王工的方案

256色,效果比较将就。。。
http://cache.amobbs.com/bbs_upload782111/files_36/ourdev_616381ETKAHP.JPG
(原文件名:DSC00735.JPG)

http://cache.amobbs.com/bbs_upload782111/files_36/ourdev_616382GK2MT3.JPG
(原文件名:DSC00737.JPG)

附件有FPGA部分的源码,有要做类似东西的坛友可以参考

话说再开学就要准备考研了,没那么多业余时间学这些东西了,应试教育体制很悲剧的说

/*另外有意出手这个spartan3E 1200K 开发板,价格980,很便宜了,详细的信息您自己查查看,以前通过山东大学的教育项目买的,有意请联系邮箱1028ljt@sina.com*/

源码ourdev_616384IPC6N2.rar(文件大小:3K) (原文件名:cammera_vga源码.rar)

sdu1028 发表于 2011-2-16 10:38:36

自沙

guke 发表于 2011-2-16 10:54:55

不错,做的不错。。。。

jdh99 发表于 2011-2-16 11:03:30

显示屏上的网格起什么作用的?

sdu1028 发表于 2011-2-16 11:08:02

调试时候算地址来着,没实际用

flyforyou85 发表于 2011-2-16 12:01:25

不错!有点搞头!

lanseiboy 发表于 2011-2-17 20:39:59

mark~
比俺正读着研的强多了~

caizijian 发表于 2011-2-18 16:20:24

多一个单片机真有点碍眼
你能附一下你这个spartan3E板子的资源不?

sdu1028 发表于 2011-2-18 16:45:37

呵呵,单片机解析文件系统来着,要拍照呢。。

digilent的nexys2 -1200K

120万门 做这个只用了1%
28 Block RAM
28 乘法器
8 DCM

•1200K-gate Xilinx Spartan 3E FPGA
• USB2-based FPGA configuration and high-speed data
transfers (using the free Adept Suite Software)
• USB-powered (batteries and/or wall-plug can also be used)
•16MB of Micron PSDRAM &16MB of Intel StrataFlash ROM
•Xilinx Platform Flash for nonvolatile FPGA configurations
•Efficientswitch-mode power supplies (good for battery
powered applications)
•50MHz oscillator plus socket for second oscillator
•60 FPGA I/O’s routed to expansion connectors (one high-
speed Hirose FX2 connector and four 6-pin headers)
•8 LEDs, 4-digit 7-seg display, 4 buttons, 8 slide switches
•Ships in a plastic carry case with USB cable

bad_fpga 发表于 2011-2-18 17:20:57

MARK

sdu1028 发表于 2011-2-19 22:52:46

回复【6楼】lanseiboy
mark~
比俺正读着研的强多了~
-----------------------------------------------------------------------

俺都不一定考的上研。。。你是哪的研究生,要不咱换换./emotion/em035.gif

jrcsh 发表于 2011-2-19 23:31:43

龟喔哦的

lanseiboy 发表于 2011-2-20 16:31:13

回复【10楼】sdu1028
-----------------------------------------------------------------------

哪的就不说了,踩线调剂的~
说出来你肯定不换,加油考个好学校吧~
我觉得,只要能找到还可以的工作,没山大好的你就不用考虑上了,呵呵

sdu1028 发表于 2011-2-21 17:47:25

回复【12楼】lanseiboy
-----------------------------------------------------------------------

是额,做了一些比赛可以保研了,但只能保内------果断去考了~
像我们集成电路这个专业,本科或者非名校,混口饭吃都不容易
就算有些个人能力和技术。。。

alanghc 发表于 2011-4-12 00:49:42

楼主的这个单片机下的程序介意公开么?我想看看 配置OV7670时VGA格式的寄存器表

jaspertsin 发表于 2011-4-12 09:02:18

mark下

sankunchai 发表于 2011-4-13 08:28:31

好东西

lm78l05 发表于 2011-4-13 09:27:39

mark

zxl2431 发表于 2011-4-13 13:25:00

hero_word 发表于 2011-4-14 11:30:12

不错!有点搞头!

icecut 发表于 2011-4-14 17:32:31

厉害.你混饭吃应该很容易了.最近国内ic发展很快

sdu1028 发表于 2011-4-16 23:50:29

回复【14楼】alanghc
-----------------------------------------------------------------------

http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=4567638&bbs_page_no=1&search_mode=3&search_text=sdu1028&bbs_id=9999
这个帖子有的

kneken 发表于 2011-4-17 17:09:45

mark

xiaoniu 发表于 2011-6-11 00:36:12

mark

kenny17 发表于 2011-6-11 01:00:10

哇卡卡,能纯fpga就爽啦,lz怎么不整个8051核进fpga,据说跑到200mHz都无问题

744357211 发表于 2011-6-11 17:35:24

回复【24楼】kenny17
哇卡卡,能纯fpga就爽啦,lz怎么不整个8051核进fpga,据说跑到200mhz都无问题
-----------------------------------------------------------------------

有现成的解析FAT32的单片机,懒啊,没整合

整进去的话可以用MicroBlaze,比较方便,不过要使用外部的flash或者配置芯片存储程序

xuzhengan123 发表于 2011-6-11 22:15:14

mark

yundanfengqing 发表于 2011-6-13 18:19:01

不错 收藏了

chenming1989 发表于 2011-8-5 17:18:41

马瑞卡

aystliu 发表于 2011-8-6 19:14:57

下学期的FPGA比赛准备做个类似的东西,学习了呢

winner985 发表于 2011-8-8 17:38:27

MARK!!!MARK!!

zywhsy 发表于 2011-8-11 10:28:18

mark

wolwc3891 发表于 2011-11-6 21:45:09

LZ 能否把你的SCCB协议部分分享一下。我的无法写入寄存器
http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=5167779&bbs_page_no=1&search_mode=3&search_text=wolwc3891&bbs_id=9999
邮箱 wolwc@163.com

wuyiliwenjie 发表于 2011-11-9 14:42:15

为什么你的屏幕有一区间是黑色的 ,我用xilinx的V2P发展板做的也是出现一段黑色的,你把那个问题解决了吗???求解答。。

sdu1028 发表于 2011-11-13 00:30:51

回复【33楼】wolwc3891
lz 能否把你的sccb协议部分分享一下。我的无法写入寄存器
-----------------------------------------------------------------------

~AVR IO模拟的,跟iic差不多。。。几个小函数~

//////////////////////////////////////////////////////////////SCCB
//初始化模拟SCCB接口
void InitSCCB(void)
{
        SCCB_DDR|=(1<<SCCB_SIO_C)|(1<<SCCB_SIO_D);
        SCCB_PORT|=(1<<SCCB_SIO_C)|(1<<SCCB_SIO_D);
}

//start命令,SCCB的起始信号
void startSCCB(void)
{
        SIO_D_SET;   //数据线高电平
    delay_us(100);

    SIO_C_SET;           //在时钟线高的时候数据线由高至低
    delay_us(100);

    SIO_D_CLR;
    delay_us(100);

    SIO_C_CLR;       //数据线恢复低电平,单操作函数必要
    delay_us(100);

}

//stop命令,SCCB的停止信号
void stopSCCB(void)
{      
    SIO_D_CLR;
    delay_us(100);

    SIO_C_SET;
    delay_us(100);

    SIO_D_SET;
    delay_us(100);
}

//noAck,用于连续读取中的最后一个结束周期
void noAck(void)
{
       
        SIO_D_SET;
        delay_us(100);
       
        SIO_C_SET;
        delay_us(100);
       
        SIO_C_CLR;
        delay_us(100);
       
        SIO_D_CLR;
        delay_us(100);

}

//写入一个字节的数据到SCCB
uchar SCCBwriteByte(uchar m_data)
{
        unsigned char j,tem;

        for(j=0;j<8;j++) //循环8次发送数据
        {
                if((m_data<<j)&0x80)
                {
                        SIO_D_SET;
                }
                else
                {
                        SIO_D_CLR;
                }
                delay_us(100);
                SIO_C_SET;
                delay_us(100);
                SIO_C_CLR;
                delay_us(100);

        }
        delay_us(100);
       
        SIO_D_IN;/*设置SDA为输入*/
        delay_us(100);
        SIO_C_SET;
        delay_us(1000);
        if(SIO_D_STATE)
        {
                tem=0;   //SDA=1发送失败,返回0
        }
        else
        {
                tem=1;   //SDA=0发送成功,返回1
        }
        SIO_C_CLR;
        delay_us(100);       
    SIO_D_OUT;/*设置SDA为输出*/

        return(tem);
}

//一个字节数据读取并且返回
uchar SCCBreadByte(void)
{
        unsigned char read,j;
        read=0x00;
       
        SIO_D_IN;/*设置SDA为输入*/
        delay_us(100);
        for(j=8;j>0;j--) //循环8次接收数据
        {                     
                delay_us(100);
                SIO_C_SET;
                delay_us(100);
                read=read<<1;
                if(SIO_D_STATE)
                {
                        read=read+1;
                }
                SIO_C_CLR;
                delay_us(100);
        }       
        return(read);
}

sdu1028 发表于 2011-11-13 00:34:54

回复【34楼】wuyiliwenjie
为什么你的屏幕有一区间是黑色的 ,我用xilinx的v2p发展板做的也是出现一段黑色的,你把那个问题解决了吗???求解答。。
-----------------------------------------------------------------------

这个是因为RAM分得小,改大就好了。。。应该不是一个问题。。。

LitePuter_uvn 发表于 2011-11-16 16:09:17

mark一下,这个不错,修改一下可以直接刷自己的tft屏吧,用到了fifo缓冲吗?
有线路图就好了……

chenbo_ourdev 发表于 2011-11-17 14:14:44

不错,控制起来难度很大吧!

weihei 发表于 2011-12-16 16:28:24

不错喔。在用FPGA直接控制OV7670.纠结中。。

hunningtu 发表于 2011-12-31 21:12:36

mark

love_zjb 发表于 2011-12-31 22:21:37

mark

517650971 发表于 2012-1-1 18:37:59

支持~~

pfdy 发表于 2012-1-6 23:33:28

mark

avrstm32 发表于 2012-4-13 09:23:31

谢谢,非常有用的资料。

wjbwlq127 发表于 2012-4-13 17:56:10

mark,好东西

cqq163 发表于 2012-4-13 21:15:33

wuyiliwenjie 发表于 2011-11-9 14:42 static/image/common/back.gif
为什么你的屏幕有一区间是黑色的 ,我用xilinx的V2P发展板做的也是出现一段黑色的,你把那个问题解决了吗? ...

你好,我现在在用V2板子在做,遇到些问题,想请教一下,可以联系一下不?

1314hong 发表于 2012-4-14 13:34:00

不错开起来可以

tangguanglun 发表于 2012-5-19 21:57:49

楼主好强。没明白的路过

tangguanglun 发表于 2012-5-22 14:17:19

顶顶,支持

luluxiu 发表于 2012-6-2 22:29:19

哥们现在还在做xinlinx吗

lgx4915 发表于 2012-6-11 12:38:21

挺好的,不过我用240*320屏显示就有问题了

lgx4915 发表于 2012-6-14 16:06:01

我的彩条有移位怎么回事

gxiaob 发表于 2012-6-16 10:58:06

楼主的显示效果不错!很佩服啊!

dongfo 发表于 2012-6-16 16:23:21

很不错了,效果还行啊

li23108 发表于 2012-6-21 10:18:55

楼主能否把那本书分享下啊

亮剑的野狼 发表于 2012-7-1 16:31:58

楼主现在有木有直接用fpga读ov7670的方法,跪求啊

wenlong0601 发表于 2012-7-4 10:22:16

楼主V5啊,单片机通过SCCB配置OV7670,然后用fpga实时显示处理,真的很不错啊。学习学习。

Saint_zju 发表于 2012-7-9 16:30:33

论坛恢复了,可以下载了。。。参考下。。。

残无 发表于 2012-9-25 16:56:43

顶一个先。。。。。。

longwu03272009 发表于 2012-10-10 19:04:12

mark,正在学习这部分

SightNeng 发表于 2013-7-6 17:09:27

mark!!!!!!!!!!

Allen520 发表于 2013-7-10 16:34:25

不错啊,楼主。学习学习!
页: [1]
查看完整版本: 数字摄像头ov7670_通过FPGA将图像实时发送到VGA显示器