xcy8011 发表于 2011-2-9 22:32:48

赠送DSP开发板,TMS320C542,条件是教我做一个简单的FPGA项目,请大家关注!长期有效,一旦送

大家好,虽然到现在还没有人答应下来,但是有不少大侠挺热心的,特别感谢.COM 缺氧® 的热情帮助,推荐了不少好书,在这段时间我也是一点一点学习,目前有一定的进展,但是也遇到问题,想得到大家的帮助,这个项目完成后会公布所有资料,请大家不吝指教。我的系统框图如下:

.
采用xilinx板子,有PC以rs232方式将数据写入FPGA,FPGA接收到后产生高电平脉冲(rx_done_tick),告诉VGA_SRAM模块有数据需要写入SRAM,然后VGA_SRAM控制SRAM_CNTL模块,执行写数据到SRAM中,当没数据写入时,由VGA_SYNC模块产生LCD控制时序和给对应显存地址给VGA_SRAM,它根据地址将SRAM数据读出,送到LCD数据线。
目前遇到的问题是感觉PC送的数据没有写入对应的SRAM地址中,显示不正常,希望大家帮忙看看。旧帖连接:http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=4449571&bbs_page_no=1&bbs_id=1029。

点击此处下载 ourdev_615066M43CCF.rar(文件大小:1.81M) (原文件名:iseproject.rar)
http://cache.amobbs.com/bbs_upload782111/files_36/ourdev_615067ECB40L.JPG
(原文件名:系统图.JPG)

http://cache.amobbs.com/bbs_upload782111/files_36/ourdev_615068R35OYV.JPG
(原文件名:PC送数据.JPG)

点击此处下载 ourdev_615069XYGD0P.AVI视频文件大小:3.93M) (原文件名:录像.AVI)
http://cache.amobbs.com/bbs_upload782111/files_36/ourdev_615070BK6OXB.JPG
(原文件名:显示图像.JPG)

http://cache.amobbs.com/bbs_upload782111/files_36/ourdev_615071DDQXZQ.JPG
(原文件名:整体图像.JPG)

NJ8888 发表于 2011-2-9 22:49:06

你是哪个语言?如果VHDL我还是能帮一帮

linuxpro 发表于 2011-2-9 22:58:13

显存用的SDRAM还是SRAM?好像你前后说得不一致啊?

xcy8011 发表于 2011-2-9 23:09:27

回复【2楼】linuxpro
-----------------------------------------------------------------------

呵呵,功力不够啊!使用SRAM,和verilog!请多指教。

linuxpro 发表于 2011-2-10 13:08:53

用SRAM的话,应该好做了,把时序整明白就可以了,呵呵

qinxg 发表于 2011-2-10 14:23:28

SRAM的读写用状态机可以实现. 我用了4个步骤就实现的. 写和读各用2步就可以了.
以前我也用楼主的批量写入,批量读出的方式操作SRAM, 但是发现写入的时候会造成LED屏的闪烁(此时不能读SRAM), 后来把SRAM的状态机改为固定的4个步骤: "读1->读2->写1->写2". 这样SRAM的写入和读出的时间都固定为50%.

qinxg 发表于 2011-2-10 14:29:34

ISE带有仿真功能, 可以写个仿真文件, 用一段内存来仿真SRAM, 这样可以直观的看到SRAM的读写逻辑是否正确.但是仿真的SRAM不能太大,否则ISE容易崩溃.

lovexiaona 发表于 2011-2-12 10:54:55

呵呵,关注下。最近也在开发一个模块,适配ARM与JC160160 LCD显示屏的模块,共同学习下。我也是采用SRAM作为缓存,不过另一端接口为8080时序。处理器与FPGA我采用的是片上总线AMBA

lpgy 发表于 2011-2-13 17:46:27

不精通verilog,就不读程序了。
鉴于你初学,建议你这个分以下几步走:
1、练习与PC机rs232通信,简单点,pc机每次发送到blockram,完成后能够从blockram读回回显(rx_done_tick通知FPGA发送)
2、接上,数据同时显示在LCD中
3、pc机每次发送到blockram,FPGA接收到后产生高电平脉冲(rx_done_tick),告诉VGA_SRAM模块有数据需要写入SRAM,然后VGA_SRAM控制SRAM_CNTL模块,执行写数据到SRAM中,然后通过rs232从sram中读回PC机回显
4、完成你的工程任务

在练习过程中,学习使用modsim仿真、在线逻辑分析仪调试程序、时序约束,等这个工程干完,你也就基本精通FPGA开发了(SOPC除外)。希望对你有用
页: [1]
查看完整版本: 赠送DSP开发板,TMS320C542,条件是教我做一个简单的FPGA项目,请大家关注!长期有效,一旦送