yf19860114 发表于 2011-1-30 15:31:11

怎么通过JTAG口读取FPGA的内部寄存器内的数据

我从事FPGA相关工作不久,比较菜,现在正在用FPGA实现一个关于电机控制的PI算法,想读通过JTAG口读出内部寄存器内的数据,我用的是ACTEL公司的FPGA,开发软件是libero8.5。有哪位大侠有这方面经验,麻烦赐教啊。

RedYang211 发表于 2011-2-4 13:17:55

mark,同样求教

ngod 发表于 2011-12-4 09:41:38

回复【楼主位】yf19860114
-----------------------------------------------------------------------

你好,你现在还需要解决这个问题吗?其实很简单,搞懂jtag时序就好了
页: [1]
查看完整版本: 怎么通过JTAG口读取FPGA的内部寄存器内的数据