loveBT 发表于 2011-1-24 15:24:11

为什么用modelsimSE 6.5仿真添加波形时,只有输出信号的波形

为什么用modelsimSE 6.5仿真添加波形时,只有输出信号的波形,没有时钟信号clk和复位信号rst_n的波形?弄了很久都不行。还望高手指教
页: [1]
查看完整版本: 为什么用modelsimSE 6.5仿真添加波形时,只有输出信号的波形