Tomas_Yung 发表于 2011-1-7 19:48:17

pci card

module pci(reset,clk,frame,irdy,trdy,devsel,idsel,ad,cbe,par,stop,inta,led_out);
    input reset;
    input clk;
    input frame;
    input irdy;
    output trdy;
    output devsel;
    input idsel;
    inout ad;
    input cbe;
    inout par;
    output stop;
    output inta;
    output led_out;

parameter DEVICE_ID = 16'h9500;
parameter VENDOR_ID = 16'h106d;                // Sequent!
parameter DEVICE_CLASS = 24'hFF0000;        // Misc
parameter DEVICE_REV = 8'h01;
parameter SUBSYSTEM_ID = 16'h0001;        // Card identifier
parameter SUBSYSTEM_VENDOR_ID = 16'hBEBE; // Card identifier
parameter DEVSEL_TIMING = 2'b00;        // Fast!

reg state;
reg data;

reg enable;
parameter EN_NONE = 0;
parameter EN_RD = 1;
parameter EN_WR = 2;
parameter EN_TR = 3;

reg memen; // respond to baseaddr?
reg baseaddr;
reg address;

parameter ST_IDLE = 3'b000;
parameter ST_BUSY = 3'b010;
parameter ST_MEMREAD = 3'b100;
parameter ST_MEMWRITE = 3'b101;
parameter ST_CFGREAD = 3'b110;
parameter ST_CFGWRITE = 3'b111;

parameter MEMREAD = 4'b0110;
parameter MEMWRITE = 4'b0111;
parameter CFGREAD = 4'b1010;
parameter CFGWRITE = 4'b1011;

`define LED
`ifdef LED
reg led;
`endif

`undef STATE_DEBUG_LED
`ifdef STATE_DEBUG_LED
assign led_out = ~state;
`else
`ifdef LED
assign led_out = ~led;// board is wired for active low LEDs
`endif
`endif

assign ad = (enable == EN_RD) ? data : 32'bZ;
assign trdy = (enable == EN_NONE) ? 'bZ : (enable == EN_TR ? 1 : 0);
assign par = (enable == EN_RD) ? 0 : 'bZ;
reg devsel;

assign stop = 1'bZ;
assign inta = 1'bZ;

wire cfg_hit = ((cbe == CFGREAD || cbe == CFGWRITE) && idsel && ad == 2'b00);
wire addr_hit = ((cbe == MEMREAD || cbe == MEMWRITE) && memen && ad == {12'b0, baseaddr});
wire hit = cfg_hit | addr_hit;

always @(posedge clk)
begin
    if (~reset) begin
      state <= ST_IDLE;
      enable <= EN_NONE;
      baseaddr <= 0;
      devsel <= 'bZ;
      memen <= 0;
`ifdef LED
      led <= 0;
`endif
    end
    else    begin
               
    case (state)
      ST_IDLE: begin
            enable <= EN_NONE;
            devsel <= 'bZ;
            if (~frame) begin
                address <= ad;
                if (hit) begin
                  state <= {1'b1, cbe, cbe};
                  devsel <= 0;
                  // pipeline the write enable
                  if (cbe)
                        enable <= EN_WR;
                end
                else begin
                  state <= ST_BUSY;
                  enable <= EN_NONE;
                end
            end
      end

      ST_BUSY: begin
            devsel <= 'bZ;
            enable <= EN_NONE;
            if (frame)
                state <= ST_IDLE;
      end

      ST_CFGREAD: begin
            enable <= EN_RD;
            if (~irdy || trdy) begin
                case (address)
                  0: data <= { DEVICE_ID, VENDOR_ID };
                  1: data <= { 5'b0, DEVSEL_TIMING, 9'b0,14'b0, memen, 1'b0};
                  2: data <= { DEVICE_CLASS, DEVICE_REV };
                  4: data <= { 12'b0, baseaddr, 8'b0, 4'b0010 }; // baseaddr + request mem < 1Mbyte
                  11: data <= {SUBSYSTEM_ID, SUBSYSTEM_VENDOR_ID };
                  16: data <= { 24'b0, baseaddr };
                  default: data <= 'h00000000;
                endcase
                address <= address + 1;
            end
            if (frame && ~irdy && ~trdy) begin
                devsel <= 1;
                state <= ST_IDLE;
                enable <= EN_TR;
            end
      end

      ST_CFGWRITE: begin
            enable <= EN_WR;
            if (~irdy) begin
                case (address)
                  4: baseaddr <= ad;// XXX examine cbe
                  1: memen <= ad;
                  default: ;
                endcase
                address <= address + 1;
                if (frame) begin
                  devsel <= 1;
                  state <= ST_IDLE;
                  enable <= EN_TR;
                end
            end
      end

      ST_MEMREAD: begin
            enable <= EN_RD;
            if (~irdy || trdy) begin
                case (address)
`ifdef LED
                  0: data <= { 28'b0, led };
`endif
                  default: data <= 'h00000000;
                endcase
                address <= address + 1;
            end
            if (frame && ~irdy && ~trdy) begin
                devsel <= 1;
                state <= ST_IDLE;
                enable <= EN_TR;
            end
      end

      ST_MEMWRITE: begin
            enable <= EN_WR;
            if (~irdy) begin
                case (address)
`ifdef LED
                  0: led <= ad;
`endif
                  default: ;
                endcase
                address <= address + 1;
                if (frame) begin
                  devsel <= 1;
                  state <= ST_IDLE;
                  enable <= EN_TR;
                end
            end
      end

    endcase
    end
end
endmodule

Tomas_Yung 发表于 2011-1-7 19:49:18

http://cache.amobbs.com/bbs_upload782111/files_35/ourdev_609760P0YXIJ.gif
(原文件名:burstcfgread.gif)

http://cache.amobbs.com/bbs_upload782111/files_35/ourdev_609761C9XIL5.gif
(原文件名:cfgread.gif)

http://cache.amobbs.com/bbs_upload782111/files_35/ourdev_609762XKFTL9.gif
(原文件名:cfgwrite.gif)

eworker 发表于 2011-1-7 20:25:51

LZ干什么用的?

Jigsaw 发表于 2011-1-7 21:09:45

LZ想干什么?

Tomas_Yung 发表于 2011-1-8 11:36:28

回复【2楼】eworker
-----------------------------------------------------------------------

回复【3楼】Jigsaw
-----------------------------------------------------------------------

项目是做一个抗压测试仪,上位机控制伺服电机带动机械机构的运转来实现样品的不同压力形变,采集不同形变条件下的压力数据绘制抗压曲线来分析样品的环境可靠性!
页: [1]
查看完整版本: pci card