insomnia1107 发表于 2011-1-4 16:49:48

仿真过程中信号显示问题,求助。。。。。

调用ISE 的仿真器仿真只能显示测试模块的端口信号的波形,怎么显示其内部信号的波形?????

qinxg 发表于 2011-1-4 17:49:05

低版本的ISE可以把内部信号"拖到"波形图里, 高版本的右键有个菜单就可以了

insomnia1107 发表于 2011-1-4 19:41:54

回复【1楼】qinxg
-----------------------------------------------------------------------

我是要显示被测试模块的内部信号的波形,在哪右键?ISE12的版本
页: [1]
查看完整版本: 仿真过程中信号显示问题,求助。。。。。