liquanmin 发表于 2010-12-12 21:07:28

请教VHDL语言中wait语句用法

process(count7)
begin
   case count7 is
when 0=>dout<='1';wait for 50 ms ;
when 1=>dout<='1'; wait for 50 ms ;
when 2=>dout<='1'; wait for 50 ms ;
when 3=>dout<='0'; wait for 50 ms ;
when 4=>dout<='0'; wait for 50 ms ;
when 5=>dout<='1'; wait for 50 ms ;
when 6=>dout<='0'; wait for 50 ms ;
when others=>dout<='0';
end case;
end process;
编译老出错,找不出原因,请教高手解决。

NJ8888 发表于 2010-12-12 21:12:18

反正不能综合,不如不用

zgq800712 发表于 2010-12-13 10:43:58

哈哈,想的美

chinawrj 发表于 2010-12-13 11:45:35

wait也就是仿真的时候用用咯。。。用的不错啊

wanghengquan 发表于 2011-10-31 15:30:34

晚了点   
我也刚知道
PROCESS 中如果有WAIT语句是不能带敏感向量的   这里PROCESS 后面不能带 (count7)
页: [1]
查看完整版本: 请教VHDL语言中wait语句用法