unicontrol 发表于 2010-10-31 00:18:39

quartus如何设置使用内部SRAM?

现在发现无法使用FPGA的内部SRAM,编译的时候,我定义的REG总是不使用内部RAM资源,如何设置才能使用内部RAM而不是片上逻辑单元。

seemrain 发表于 2010-10-31 09:11:02

使用IP向导,或者定义数组
页: [1]
查看完整版本: quartus如何设置使用内部SRAM?