kfxy 发表于 2010-10-28 15:04:53

FPGA 学习的一些误区

FPGA 学习的一些误区

作者:某人(摘自网络,不知道作者)

我常年担任多个有关 FPGA 学习研讨的 QQ 群管理员,长期以来很多新入群

的菜鸟们总是在重复的问一些非常简单但是又让新手困惑不解的问题。作为管理

员经常要给这些菜鸟们普及基础知识,但是非常不幸的是很多菜鸟怀着一种浮躁

的心态来学习 FPGA,总是急于求成。再加上国内大量有关 FPGA 的垃圾教材的

误导,所以很多菜鸟始终无法入门。    为什么大量的人会觉得 FPGA 难学?作为

著名 FPGA 提供商 Altera 授权的金牌培训师,本管理员决心开贴来详细讲一下菜

鸟觉得 FPGA 难学的几大原因。1、不熟悉 FPGA 的内部结构,不了解可编程

逻辑器件的基本原理。FPGA 为什么是可以编程的?恐怕很多菜鸟不知道,他

们也不想知道。因为他们觉得这是无关紧要的。他们潜意识的认为可编程嘛,肯

定就是像写软件一样啦。软件编程的思想根深蒂固,看到 Verilog 或者 VHDL 就

像看到 C 语言或者其它软件编程语言一样。一条条的读,一条条的分析。如果

这些菜鸟们始终拒绝去了解为什么 FPGA 是可以编程的,不去了解 FPGA 的内

部结构,要想学会 FPGA 恐怕是天方夜谭。虽然现在 EDA 软件已经非常先进,

像写软件那样照猫画虎也能综合出点东西,但也许只有天知道 EDA 软件最后综

合出来的到底是什么。也许点个灯,跑个马还行。这样就是为什么很多菜鸟学了

N 久以后依然是一个菜鸟的原因。那么 FPGA 为什么是可以“编程”的呢?首先

来了解一下什么叫“程”。启示“程”只不过是一堆具有一定含义的 01 编码而已。

编程,其实就是编写这些 01 编码。只不过我们现在有了很多开发工具,通常都

不是直接编写这些 01 编码,而是以高级语言的形式来编写,最后由开发工具转

换为这种 01 编码而已。对于软件编程而言,处理器会有一个专门的译码电路逐

条把这些 01 编码翻译为各种控制信号,然后控制其内部的电路完成一个个的运

算或者是其它操作。所以软件是一条一条的读,因为软件的操作是一步一步完成

的。而 FPGA 的可编程,本质也是依靠这些 01 编码实现其功能的改变,但不同

的是 FPGA 之所以可以完成不同的功能,不是依靠像软件那样将 01 编码翻译出

来再去控制一个运算电路,FPGA 里面没有这些东西。FPGA 内部主要三块:可

编程的逻辑单元、可编程的连线和可编程的 IO 模块。可编程的逻辑单元是什么?

其基本结构某种存储器(SRAM、FLASH 等)制成的 4 输入或 6 输入 1 输出地

“真值表”加上一个 D 触发器构成。任何一个 4 输入 1 输出组合逻辑电路,都有一张

对应的“真值表”,同样的如果用这么一个存储器制成的 4 输入 1 输出地“真值表”,

只需要修改其“真值表”内部值就可以等效出任意 4 输入 1 输出的组合逻辑。这些

“真值表”内部值是什么?就是那些 01 编码而已。如果要实现时序逻辑电路怎么

办?这不又 D 触发器嘛,任何的时序逻辑都可以转换为组合逻辑+D 触发器来完

成。但这毕竟只实现了 4 输入 1 输出的逻辑电路而已,通常逻辑电路的规模那是

相当的大哦。那怎么办呢?这个时候就需要用到可编程连线了。在这些连线上有

很多用存储器控制的链接点,通过改写对应存储器的值就可以确定哪些线是连上

的而哪些线是断开的。这就可以把很多可编程逻辑单元组合起来形成大型的逻辑

电路。最后就是可编程的 IO,这其实是 FPGA 作为芯片级使用必须要注意的。

任何芯片都必然有输入引脚和输出引脚。有可编程的 IO 可以任意的定义某个非

专用引脚(FPGA 中有专门的非用户可使用的测试、下载用引脚)为输入还是输

出,还可以对 IO 的电平标准进行设置。总归一句话,FPGA 之所以可编程是因

为可以通过特殊的 01 代码制作成一张张“真值表”,并将这些“真值表”组合起来

以实现大规模的逻辑功能。不了解 FPGA 内部结构,就不能明白最终代码如何变

到 FPGA 里面去的。也就无法深入的了解如何能够充分运用 FPGA。现在的

FPGA,不单单是有前面讲的那三块,还有很多专用的硬件功能单元,如何利用

好这些单元实现复杂的逻辑电路设计,是从菜鸟迈向高手的路上必须要克服的障

碍。而这一切,还是必须先从了解 FPGA 内部逻辑及其工作原理做起。2、错误

理解 HDL 语言,怎么看都看不出硬件结构。HDL 语言的英语全称是:Hardware

Description Language,注意这个单词 Description,而不是 Design。老外为什么要

用 Description 这个词而不是 Design 呢?因为 HDL 确实不是用用来设计硬件的,

而仅仅是用来描述硬件的。描述这个词精确地反映了 HDL 语言的本质,HDL 语

言不过是已知硬件电路的文本表现形式而已,只是将以后的电路用文本的形式描

述出来而已。而在编写语言之前,硬件电路应该已经被设计出来了。语言只不过

是将这种设计转化为文字表达形式而已。但是很多人就不理解了,既然硬件都已

经被设计出来了,直接拿去制作部就完了,为什么还要转化为文字表达形式再通

过 EDA 工具这些麻烦的流程呢?其实这就是很多菜鸟没有了解设计的抽象层次

的问题,任何设计包括什么服装、机械、广告设计都有一个抽象层次的问题。

就拿广告设计来说吧,最初的设计也许就是一个概念,设计出这个概念也是就是一

个点子而已,离最终拍成广告还差得很远。硬件设计也是有不同的抽象层次,

每一个层次都需要设计。最高的抽象层次为算法级、然后依次是体系结构级、寄

存器传输级、门级、物理版图级。使用 HDL 的好处在于我们已经设计好了一个

寄存器传输级的电路,那么用 HDL 描述以后转化为文本的形式,剩下的向更低

层次的转换就可以让 EDA 工具去做了,这就大大的降低了工作量。这就是可综

合的概念,也就是说在对这一抽象层次上硬件单元进行描述可以被 EDA 工具理

解并转化为底层的门级电路或其他结构的电路。在 FPGA 设计中,就是在将这以

抽象层级的意见描述成 HDL 语言,就可以通过 FPGA 开发软件转化为问题 1 中

所述的 FPGA 内部逻辑功能实现形式。HDL 也可以描述更高的抽象层级如算法

级或者是体系结构级,但目前受限于 EDA 软件的发展,EDA 软件还无法理解这

么高的抽象层次,所以 HDL 描述这样抽象层级是无法被转化为较低的抽象层级

的,这也就是所谓的不可综合。所以在阅读或编写 HDL 语言,尤其是可综合的

HDL,不应该看到的是语言本身,而是要看到语言背后所对应的硬件电路结构。

如果看到的 HDL 始终是一条条的代码,那么这种人永远摆脱不了菜鸟的宿命。

假如哪一天看到的代码不再是一行行的代码而是一块一块的硬件模块,那么恭喜

脱离了菜鸟的级别,进入不那么菜的鸟级别。3、FPGA 本身不算什么,一切皆

在 FPGA 之外这一点恐怕也是很多学 FPGA 的菜鸟最难理解的地方。FPGA 是

给谁用的?很多学校解释为给学微电子专业或者集成电路设计专业的学生用的,

其实这不过是很多学校受资金限制,卖不起专业的集成电路设计工具而用 FPGA

工具替代而已。其实 FPGA 是给设计电子系统的工程师使用的。这些工程师通常

是使用已有的芯片搭配在一起完成一个电子设备,如基站、机顶盒、视频监控设

备等。当现有芯片无法满足系统的需求时,就需要用 FPGA 来快速的定义一个能

用的芯片。前面说了,FPGA 里面无法就是一些“真值表”、触发器、各种连线以

及一些硬件资源,电子系统工程师使用 FPGA 进行设计时无非就是考虑如何将这

些以后资源组合起来实现一定的逻辑功能而已,而不必像 IC 设计工程师那样一

直要关注到最后芯片是不是能够被制造出来。本质上和利用现有芯片组合成不同

的电子系统没有区别,只是需要关注更底层的资源而已。要想把 FPGA 用起来

还是简单的,因为无非就是那些资源,在理解了前面两点再搞个实验板,跑跑实验,

做点简单的东西是可以的。而真正要把 FPGA 用好,那光懂点 FPGA 知识

就远远不够了。因为最终要让 FPGA 里面的资源如何组合,实现何种功能才能满

足系统的需要,那就需要懂得更多更广泛的知识。目前 FPGA 的应用主要是三

个方向:第一个方向,也是传统方向主要用于通信设备的高速接口电路设计,这

一方向主要是用 FPGA 处理高速接口的协议,并完成高速的数据收发和交换。这

类应用通常要求采用具备高速收发接口的 FPGA,同时要求设计者懂得高速接口

电路设计和高速数字电路板级设计,具备 EMC/EMI 设计知识,以及较好的模拟

电路基础,需要解决在高速收发过程中产生的信号完整性问题。FPGA 最初以及

到目前最广的应用就是在通信领域,一方面通信领域需要高速的通信协议处理方

式,另一方面通信协议随时在修改,非常不适合做成专门的芯片。因此能够灵活

改变功能的 FPGA 就成为首选。到目前为止 FPGA 的一半以上的应用也是在通

信行业。第二个方向,可以称为数字信号处理方向或者数学计算方向,因为很

大程度上这一方向已经大大超出了信号处理的范畴。例如早就在 2006 年就听说

老美将 FPGA 用于金融数据分析,后来又见到有将 FPGA 用于医学数据分析的

案例。在这一方向要求 FPGA 设计者有一定的数学功底,能够理解并改进较为复

杂的数学算法,并利用 FPGA 内部的各种资源使之能够变为实际的运算电路。目

前真正投入实用的还是在通信领域的无线信号处理、信道编解码以及图像信号处

理等领域,其它领域的研究正在开展中,之所以没有大量实用的主要原因还是因

为学金融的、学医学的不了解这玩意。不过最近发现欧美有很多电子工程、计算

机类的博士转入到金融行业,开展金融信号处理,相信随着转入的人增加,FPGA

在其它领域的数学计算功能会更好的发挥出来,而我也有意做一些这些方面的研

究。不过国内学金融的、学医的恐怕连数学都很少用到,就不用说用 FPGA 来帮

助他们完成数学_运算了,这个问题只有再议了。第三个方向就是所谓的 SOPC

方向,其实严格意义上来说这个已经在 FPGA 设计的范畴之内,只不过是利用

FPGA 这个平台搭建的一个嵌入式系统的底层硬件环境,然后设计者主要是在上

面进行嵌入式软件开发而已。设计对于 FPGA 本身的设计时相当少的。但如果涉

及到需要在 FPGA 做专门的算法加速,实际上需要用到第二个方向的知识,而如

果需要设计专用的接口电路则需要用到第一个方向的知识。就目前 SOPC 方向发

展其实远不如第一和第二个方向,其主要原因是因为 SOPC 以 FPGA 为主,或

者是在 FPGA 内部的资源实现一个“软”的处理器,或者是在 FPGA 内部嵌入一个处

理器核。但大多数的嵌入式设计却是以软件为核心,以现有的硬件发展情况来看,

多数情况下的接口都已经标准化,并不需要那么大的 FPGA 逻辑资源去设计太过

复杂的接口。而且就目前看来 SOPC 相关的开发工具还非常的不完善,以 ARM

为代表的各类嵌入式处理器开发工具却早已深入人心,大多数以 ARM 为核心的

SOC 芯片提供了大多数标准的接口,大量成系列的单片机/嵌入式处理器提供了

相关行业所需要的硬件加速电路,需要专门定制硬件场合确实很少。通常是在一

些特种行业才会在这方面有非常迫切的需求。即使目前 Xilinx 将 ARM 的硬核加

入到 FPGA 里面,相信目前的情况不会有太大改观,不要忘了很多老掉牙的 8

位单片机还在嵌入式领域混呢,嵌入式主要不是靠硬件的差异而更多的是靠软件

的差异来体现价值的。我曾经看好的是 cypress 的 Psoc 这一想法。和 SOPC 系列

不同,Psoc 的思想史载 SOC 芯片里面去嵌入那么一小块 FPGA,那这样其实可

以满足嵌入式的那些微小的硬件接口差异,比如某个运用需要 4 个 USB,而通

常的处理器不会提供那么多,就可以用这么一块 FPGA 来提供多的 USB 接口。

而另一种运用需要 6 个 UART,也可以用同样的方法完成。对于嵌入式设计公司

来说他们只需要备货一种芯片,就可以满足这些设计中各种微小的差异变化。其

主要的差异化仍然是通过软件来完成。但目前 cypress 过于封闭,如果其采用

ARM 作为处理器内核,借助其完整的工具链。同时开放 IP 合作,让大量的第三

方为它提供 IP 设计,其实是很有希望的。但目前 cypress 的日子怕不太好过,Psoc

的思想也不知道何时能够发光。4、数字逻辑知识是根本。无论是 FPGA 的哪

个方向,都离不开数字逻辑知识的支撑。FPGA 说白了是一种实现数字逻辑的方

式而已。如果连最基本的数字逻辑的知识都有问题,学习 FPGA 的愿望只是空中

楼阁而已。而这,恰恰是很多菜鸟最不愿意去面对的问题。数字逻辑是任何电子

电气类专业的专业基础知识,也是必须要学好的一门课。很多人无非是学习了,

考个试,完了。如果不能将数字逻辑知识烂熟于心,养成良好的设计习惯,学

FPGA 到最后仍然是雾里看花水中望月,始终是一场空的。   以上四条只是我目

前总结菜鸟们在学习 FPGA 时所最容易跑偏的地方,FPGA 的学习其实就像学习

围棋一样,学会如何在棋盘上落子很容易,成为一位高手却是难上加难。要真成

为李昌镐那样的神一般的选手,除了靠刻苦专研,恐怕还确实得要一点天赋。

zhangxun0712 发表于 2010-10-28 15:18:57

顶一个

eggcar 发表于 2010-10-28 15:27:01

顶~另:排版看着好累……

rlogin 发表于 2010-10-28 15:30:41

看的那个费眼啊

yuphone 发表于 2010-10-28 15:47:43

呵呵,楼主辛苦了。我排下版哈。

FPGA学习的一些误区

作者:某人(摘自网络,不知道作者)

我常年担任多个有关FPGA学习研讨的QQ群管理员,长期以来很多新入群的菜鸟们总是在重复的问一些非常简单但是又让新手困惑不解的问题。作为管理员经常要给这些菜鸟们普及基础知识,但是非常不幸的是很多菜鸟怀着一种浮躁的心态来学习FPGA,总是急于求成。再加上国内大量有关FPGA的垃圾教材的误导,所以很多菜鸟始终无法入门。为什么大量的人会觉得FPGA难学?作为著名FPGA提供商Altera授权的金牌培训师,本管理员决心开贴来详细讲一下菜鸟觉得FPGA难学的几大原因。

1、不熟悉FPGA的内部结构,不了解可编程逻辑器件的基本原理。FPGA为什么是可以编程的?恐怕很多菜鸟不知道,他们也不想知道。因为他们觉得这是无关紧要的。他们潜意识的认为可编程嘛,肯定就是像写软件一样啦。软件编程的思想根深蒂固,看到Verilog或者VHDL就像看到C语言或者其它软件编程语言一样。一条条的读,一条条的分析。如果这些菜鸟们始终拒绝去了解为什么FPGA是可以编程的,不去了解FPGA的内部结构,要想学会FPGA恐怕是天方夜谭。虽然现在EDA软件已经非常先进,像写软件那样照猫画虎也能综合出点东西,但也许只有天知道EDA软件最后综合出来的到底是什么。也许点个灯,跑个马还行。这样就是为什么很多菜鸟学了N久以后依然是一个菜鸟的原因。那么FPGA为什么是可以“编程”的呢?首先来了解一下什么叫“程”。启示“程”只不过是一堆具有一定含义的01编码而已。编程,其实就是编写这些01编码。只不过我们现在有了很多开发工具,通常都不是直接编写这些01编码,而是以高级语言的形式来编写,最后由开发工具转换为这种01编码而已。对于软件编程而言,处理器会有一个专门的译码电路逐条把这些01编码翻译为各种控制信号,然后控制其内部的电路完成一个个的运算或者是其它操作。所以软件是一条一条的读,因为软件的操作是一步一步完成的。而FPGA的可编程,本质也是依靠这些01编码实现其功能的改变,但不同的是FPGA之所以可以完成不同的功能,不是依靠像软件那样将01编码翻译出来再去控制一个运算电路,FPGA里面没有这些东西。FPGA内部主要三块:可编程的逻辑单元、可编程的连线和可编程的IO模块。可编程的逻辑单元是什么?其基本结构某种存储器(SRAM、FLASH等)制成的4输入或6输入1输出地“真值表”加上一个D触发器构成。任何一个4输入1输出组合逻辑电路,都有一张对应的“真值表”,同样的如果用这么一个存储器制成的4输入1输出地“真值表”,只需要修改其“真值表”内部值就可以等效出任意4输入1输出的组合逻辑。这些“真值表”内部值是什么?就是那些01编码而已。如果要实现时序逻辑电路怎么办?这不又D触发器嘛,任何的时序逻辑都可以转换为组合逻辑+D触发器来完成。但这毕竟只实现了4输入1输出的逻辑电路而已,通常逻辑电路的规模那是相当的大哦。那怎么办呢?这个时候就需要用到可编程连线了。在这些连线上有很多用存储器控制的链接点,通过改写对应存储器的值就可以确定哪些线是连上的而哪些线是断开的。这就可以把很多可编程逻辑单元组合起来形成大型的逻辑电路。最后就是可编程的IO,这其实是FPGA作为芯片级使用必须要注意的。任何芯片都必然有输入引脚和输出引脚。有可编程的IO可以任意的定义某个非专用引脚(FPGA中有专门的非用户可使用的测试、下载用引脚)为输入还是输出,还可以对IO的电平标准进行设置。总归一句话,FPGA之所以可编程是因为可以通过特殊的01代码制作成一张张“真值表”,并将这些“真值表”组合起来以实现大规模的逻辑功能。不了解FPGA内部结构,就不能明白最终代码如何变到FPGA里面去的。也就无法深入的了解如何能够充分运用FPGA。现在的FPGA,不单单是有前面讲的那三块,还有很多专用的硬件功能单元,如何利用好这些单元实现复杂的逻辑电路设计,是从菜鸟迈向高手的路上必须要克服的障碍。而这一切,还是必须先从了解FPGA内部逻辑及其工作原理做起。

2、错误理解HDL语言,怎么看都看不出硬件结构。HDL语言的英语全称是:Hardware Description Language,注意这个单词Description,而不是Design。老外为什么要用Description这个词而不是Design呢?因为HDL确实不是用用来设计硬件的,而仅仅是用来描述硬件的。描述这个词精确地反映了HDL语言的本质,HDL语言不过是已知硬件电路的文本表现形式而已,只是将以后的电路用文本的形式描述出来而已。而在编写语言之前,硬件电路应该已经被设计出来了。语言只不过是将这种设计转化为文字表达形式而已。但是很多人就不理解了,既然硬件都已经被设计出来了,直接拿去制作部就完了,为什么还要转化为文字表达形式再通过EDA工具这些麻烦的流程呢?其实这就是很多菜鸟没有了解设计的抽象层次的问题,任何设计包括什么服装、机械、广告设计都有一个抽象层次的问题。就拿广告设计来说吧,最初的设计也许就是一个概念,设计出这个概念也是就是一个点子而已,离最终拍成广告还差得很远。硬件设计也是有不同的抽象层次,每一个层次都需要设计。最高的抽象层次为算法级、然后依次是体系结构级、寄存器传输级、门级、物理版图级。使用HDL的好处在于我们已经设计好了一个寄存器传输级的电路,那么用HDL描述以后转化为文本的形式,剩下的向更低层次的转换就可以让EDA工具去做了,这就大大的降低了工作量。这就是可综合的概念,也就是说在对这一抽象层次上硬件单元进行描述可以被EDA工具理解并转化为底层的门级电路或其他结构的电路。在FPGA设计中,就是在将这以抽象层级的意见描述成HDL语言,就可以通过FPGA开发软件转化为问题1中所述的FPGA内部逻辑功能实现形式。HDL也可以描述更高的抽象层级如算法级或者是体系结构级,但目前受限于EDA软件的发展,EDA软件还无法理解这么高的抽象层次,所以HDL描述这样抽象层级是无法被转化为较低的抽象层级的,这也就是所谓的不可综合。所以在阅读或编写HDL语言,尤其是可综合的HDL,不应该看到的是语言本身,而是要看到语言背后所对应的硬件电路结构。如果看到的HDL始终是一条条的代码,那么这种人永远摆脱不了菜鸟的宿命。假如哪一天看到的代码不再是一行行的代码而是一块一块的硬件模块,那么恭喜脱离了菜鸟的级别,进入不那么菜的鸟级别。

3、FPGA本身不算什么,一切皆在FPGA之外这一点恐怕也是很多学FPGA的菜鸟最难理解的地方。FPGA是给谁用的?很多学校解释为给学微电子专业或者集成电路设计专业的学生用的,其实这不过是很多学校受资金限制,卖不起专业的集成电路设计工具而用FPGA工具替代而已。其实FPGA是给设计电子系统的工程师使用的。这些工程师通常是使用已有的芯片搭配在一起完成一个电子设备,如基站、机顶盒、视频监控设备等。当现有芯片无法满足系统的需求时,就需要用FPGA来快速的定义一个能用的芯片。前面说了,FPGA里面无法就是一些“真值表”、触发器、各种连线以及一些硬件资源,电子系统工程师使用FPGA进行设计时无非就是考虑如何将这些以后资源组合起来实现一定的逻辑功能而已,而不必像IC设计工程师那样一直要关注到最后芯片是不是能够被制造出来。本质上和利用现有芯片组合成不同的电子系统没有区别,只是需要关注更底层的资源而已。要想把FPGA用起来还是简单的,因为无非就是那些资源,在理解了前面两点再搞个实验板,跑跑实验,做点简单的东西是可以的。而真正要把FPGA用好,那光懂点FPGA知识就远远不够了。因为最终要让FPGA里面的资源如何组合,实现何种功能才能满足系统的需要,那就需要懂得更多更广泛的知识。目前FPGA的应用主要是三个方向:第一个方向,也是传统方向主要用于通信设备的高速接口电路设计,这一方向主要是用FPGA处理高速接口的协议,并完成高速的数据收发和交换。这类应用通常要求采用具备高速收发接口的FPGA,同时要求设计者懂得高速接口电路设计和高速数字电路板级设计,具备EMC/EMI设计知识,以及较好的模拟电路基础,需要解决在高速收发过程中产生的信号完整性问题。FPGA最初以及到目前最广的应用就是在通信领域,一方面通信领域需要高速的通信协议处理方式,另一方面通信协议随时在修改,非常不适合做成专门的芯片。因此能够灵活改变功能的FPGA就成为首选。到目前为止FPGA的一半以上的应用也是在通信行业。第二个方向,可以称为数字信号处理方向或者数学计算方向,因为很大程度上这一方向已经大大超出了信号处理的范畴。例如早就在2006年就听说老美将FPGA用于金融数据分析,后来又见到有将FPGA用于医学数据分析的案例。在这一方向要求FPGA设计者有一定的数学功底,能够理解并改进较为复杂的数学算法,并利用FPGA内部的各种资源使之能够变为实际的运算电路。目前真正投入实用的还是在通信领域的无线信号处理、信道编解码以及图像信号处理等领域,其它领域的研究正在开展中,之所以没有大量实用的主要原因还是因为学金融的、学医学的不了解这玩意。不过最近发现欧美有很多电子工程、计算机类的博士转入到金融行业,开展金融信号处理,相信随着转入的人增加,FPGA在其它领域的数学计算功能会更好的发挥出来,而我也有意做一些这些方面的研究。不过国内学金融的、学医的恐怕连数学都很少用到,就不用说用FPGA来帮助他们完成数学_运算了,这个问题只有再议了。第三个方向就是所谓的SOPC方向,其实严格意义上来说这个已经在FPGA设计的范畴之内,只不过是利用FPGA这个平台搭建的一个嵌入式系统的底层硬件环境,然后设计者主要是在上面进行嵌入式软件开发而已。设计对于FPGA本身的设计时相当少的。但如果涉及到需要在FPGA做专门的算法加速,实际上需要用到第二个方向的知识,而如果需要设计专用的接口电路则需要用到第一个方向的知识。就目前SOPC方向发展其实远不如第一和第二个方向,其主要原因是因为SOPC以FPGA为主,或者是在FPGA内部的资源实现一个“软”的处理器,或者是在FPGA内部嵌入一个处理器核。但大多数的嵌入式设计却是以软件为核心,以现有的硬件发展情况来看,多数情况下的接口都已经标准化,并不需要那么大的FPGA逻辑资源去设计太过复杂的接口。而且就目前看来SOPC相关的开发工具还非常的不完善,以ARM为代表的各类嵌入式处理器开发工具却早已深入人心,大多数以ARM为核心的SOC芯片提供了大多数标准的接口,大量成系列的单片机/嵌入式处理器提供了相关行业所需要的硬件加速电路,需要专门定制硬件场合确实很少。通常是在一些特种行业才会在这方面有非常迫切的需求。即使目前Xilinx将ARM的硬核加入到FPGA里面,相信目前的情况不会有太大改观,不要忘了很多老掉牙的8位单片机还在嵌入式领域混呢,嵌入式主要不是靠硬件的差异而更多的是靠软件的差异来体现价值的。我曾经看好的是cypress的Psoc这一想法。和SOPC系列不同,Psoc的思想史载SOC芯片里面去嵌入那么一小块FPGA,那这样其实可以满足嵌入式的那些微小的硬件接口差异,比如某个运用需要4个USB,而通常的处理器不会提供那么多,就可以用这么一块FPGA来提供多的USB接口。而另一种运用需要6个UART,也可以用同样的方法完成。对于嵌入式设计公司来说他们只需要备货一种芯片,就可以满足这些设计中各种微小的差异变化。其主要的差异化仍然是通过软件来完成。但目前cypress过于封闭,如果其采用ARM作为处理器内核,借助其完整的工具链。同时开放IP合作,让大量的第三方为它提供IP设计,其实是很有希望的。但目前cypress的日子怕不太好过,Psoc的思想也不知道何时能够发光。

4、数字逻辑知识是根本。无论是FPGA的哪个方向,都离不开数字逻辑知识的支撑。FPGA说白了是一种实现数字逻辑的方式而已。如果连最基本的数字逻辑的知识都有问题,学习FPGA的愿望只是空中楼阁而已。而这,恰恰是很多菜鸟最不愿意去面对的问题。数字逻辑是任何电子电气类专业的专业基础知识,也是必须要学好的一门课。很多人无非是学习了,考个试,完了。如果不能将数字逻辑知识烂熟于心,养成良好的设计习惯,学FPGA到最后仍然是雾里看花水中望月,始终是一场空的。以上四条只是我目前总结菜鸟们在学习FPGA时所最容易跑偏的地方,FPGA的学习其实就像学习围棋一样,学会如何在棋盘上落子很容易,成为一位高手却是难上加难。要真成为李昌镐那样的神一般的选手,除了靠刻苦专研,恐怕还确实得要一点天赋。

liangyuliyu 发表于 2010-10-28 16:22:51

今天刚进来就学习了这个,我学了差不多半年的fpga,现在看来都白学了,我还真的不懂啊,就懂几个vhdl的编程算法,最近想搞个EPM7128的开发,遇到个问题,jtag下载的tck脚应该接上拉电阻还是下拉的?看过书有的是上啦有的是下拉,不知道怎么弄了...

ilawp 发表于 2010-10-28 16:24:45

mark

wenfeiexe 发表于 2010-10-28 16:35:28

COOL

Jigsaw 发表于 2010-10-28 17:12:28

这么长
有好几层楼高了

lyl0719 发表于 2010-10-28 18:29:21

mark

zxl2431 发表于 2010-10-28 19:10:18

严重同意

yangshuhe33 发表于 2010-10-28 19:12:03

我没有天赋。

yangshuhe33 发表于 2010-10-28 19:13:15

我确实没有天赋!

lonerzf 发表于 2010-10-28 20:05:28

很强大啊!

dmxllyl 发表于 2010-10-28 20:17:34

hehe

yl604922959 发表于 2010-10-28 20:21:13

正在搞FPGA,感觉还是c体系的亲切,无论是上位机,单片机,dsp还是其他的,只要是c体系的我就高兴得要死,而一遇到FPGA我就郁闷了,综合工具总是出一些很神奇的事情出来

dsyayo 发表于 2010-10-28 20:39:21

学习了~~路漫漫~还是脚踏实地的学啊~~

dengxiaofeng 发表于 2010-10-28 20:43:22

正在学习FPGA在看艾米电子的教程在这里赞一个不错哟
在慢慢习惯 并行处理的思想!
呵呵呵

这帖子好长啊!!!

hdd961140543 发表于 2010-10-28 21:54:08

给我这个刚开始学习FPGA的同学当头一棒,我还以为和C编程差不多呢。刻苦我倒是没问题,就是不知道有没有天赋了?

NJ8888 发表于 2010-10-28 22:01:09

个人感觉,如果做一个处理外部信号的比较复杂的时序电路,没有逻辑分析仪很难。如果是自己产生信号还是比较容易的

kunnsd 发表于 2010-10-28 23:19:10

红字看起来更辛苦

AlongChare 发表于 2010-10-29 00:29:08

MARK!MARK!MARK!

kfxy 发表于 2010-10-29 21:45:20

当时我看到这个文章的时候我感觉我以前学的cpld基本上算是白学了,完全当成单片机来学了,看完之后感觉自己貌似离入门已经不远了,只要把思想转变过来的话,就不会一直是一个小菜鸟了

kfxy 发表于 2010-10-29 21:47:55

其实只要真正理解了这个“可编程”中的'程'字的话,相信会越学越好的呀,

MCU_fans 发表于 2010-10-29 22:00:01

mark

ocean_raft 发表于 2010-10-30 01:32:00

回复【5楼】liangyuliyu
-----------------------------------------------------------------------

给你个链接
www.altera.com/literature/an/an039.pdf
在这个文档上可以找到你想要的答案

ngzhang 发表于 2010-10-30 02:23:52

说得很好。写HDL一定要把C抛到脑后。

zxcvb110 发表于 2010-10-31 22:50:28

学习了   !!!

wxx116zh 发表于 2010-11-1 09:28:42

学习了

flyhouse 发表于 2010-11-1 10:32:22

1楼的空行太大眼花,换行多眼花

4楼的红字眼红

还是copy去word里面看的。。。

hfcjxdr 发表于 2010-11-1 10:52:39

好贴。拜读!!获益良多

Nuist_Gwgj 发表于 2010-11-1 11:59:53

mark

relotus 发表于 2010-11-1 16:23:55

mzrk

lsw0136 发表于 2010-11-3 11:09:34

mark,备用

avrwoo 发表于 2010-11-3 11:18:34

MARK

bone 发表于 2010-11-3 11:39:20

回复【4楼】yuphone .COM 缺氧®
-----------------------------------------------------------------------

排版很好,但是红色的……看的眼睛好涨啊……

qinxg 发表于 2010-11-3 11:52:15

想要学好HDL语言,首先可以用原理图方式学一些例子. 因为原理图方式是实实在在的硬件设计,可以在FPGA里找到对应的硬件. 而HDL有些抽象,初学者如果不知道语句对应的硬件是什么, 则根本学不透彻.
比如HDL里的延时语句, 不知让多少初学者迷惑!

bone 发表于 2010-11-3 12:53:48

回复【36楼】qinxg
想要学好hdl语言,首先可以用原理图方式学一些例子. 因为原理图方式是实实在在的硬件设计,可以在fpga里找到对应的硬件. 而hdl有些抽象,初学者如果不知道语句对应的硬件是什么, 则根本学不透彻.
比如hdl里的延时语句, 不知让多少初学者迷惑!
-----------------------------------------------------------------------

只要看生成的电路图就可以了,何必那么麻烦!

xiangxinaiqing 发表于 2010-11-3 14:43:20

mark

bodyopq 发表于 2010-11-3 15:09:18

好 好 好

danielzhuang 发表于 2010-11-3 15:28:24

学习了

TESTSPEC 发表于 2010-11-3 15:59:28

我也是在这条迷茫的路上徘徊着·····

qubiezhen 发表于 2010-11-3 16:15:57

学习了很有启发

All-Star 发表于 2010-11-3 17:02:03

perfect

hollypower 发表于 2010-11-3 20:51:57

C语言是顺序执行的,看起来自然有条理。
FPGA有可能信号是并行的,同时的,当然更复杂。

maqingbiao 发表于 2010-11-4 21:53:35

学习了

gliet_su 发表于 2010-11-4 23:12:56

其实很多Verilog的书都是误人子弟,整天捣鼓什么阻塞与非阻塞。

很浅白:
阻塞就是直接连线
非阻塞要经过触发器

虽然编译器不一定按这个执行,但心中要有这个的概念就行了。

zgq800712 发表于 2010-11-5 22:24:20

5楼】 liangyuliyu
积分:10
派别:
等级:------
来自:
        今天刚进来就学习了这个,我学了差不多半年的fpga,现在看来都白学了,我还真的不懂啊,就懂几个vhdl的编程算法,最近想搞个EPM7128的开发,遇到个问题,jtag下载的tck脚应该接上拉电阻还是下拉的?看过书有的是上啦有的是下拉,不知道怎么弄了...



altera   上的多是下拉的,10K下拉,其他的上拉也是10K,典型的10K哈哈
 

boyhs 发表于 2010-11-8 14:12:36

好帖,读后受益匪浅啊!

jt6245 发表于 2010-11-15 05:06:03

学习了

aicd 发表于 2011-5-8 00:56:05

不错

zcan 发表于 2014-9-17 16:18:20

写的帮帮哒

DepravedLucien 发表于 2014-9-17 18:11:29

学习 学习

TZQ95865 发表于 2014-9-18 15:16:36

看看 顶一下

hanliang0330 发表于 2014-9-18 16:08:03

学习学习

caijl 发表于 2014-9-18 16:29:05

MARK         

hankls0007 发表于 2014-9-21 17:31:15

写的很好,很适合我这种超新手,顶一个

hankls0007 发表于 2014-9-21 17:33:01

yuphone 发表于 2010-10-28 15:47
呵呵,楼主辛苦了。我排下版哈。

FPGA学习的一些误区


我觉得楼主的排版是有目的的,不用转头和转眼珠子就可以看,比较轻松

sc09009033 发表于 2014-9-21 17:57:10

写的好长啊

hankls0007 发表于 2014-9-21 23:06:02

楼主求推荐好的教材啊,国内大量的垃圾资料

深海烟花 发表于 2014-9-22 15:53:45

顶~学习了

stevencheng 发表于 2014-9-22 17:28:20

学习下,描述有点长。

timom333 发表于 2014-9-22 21:07:41

求教材求资料~~ 比如要了解硬件 可以看什么书?

飞翔刘俊 发表于 2014-9-26 18:14:40

时域抽取基2快速傅里叶变换FFT算法.PDF

飞翔刘俊 发表于 2014-9-26 18:17:55

{:smile:}{:smile:}{:smile:}{:smile:}

bdzhaojing 发表于 2014-9-29 10:41:39

求推荐好的教材

痞子_雄 发表于 2014-9-29 20:46:36

顶一个!

domt 发表于 2014-9-29 20:53:17

收藏之。

wsws1057 发表于 2014-9-29 20:54:12

学习了{:smile:}{:smile:}{:smile:}{:smile:}

SWDZ1010110 发表于 2014-9-29 21:01:29

很有道理,FPGA和单片机是两种思维方式

Stargazer-1986 发表于 2014-9-30 08:31:40

学习学习.

hyf88 发表于 2014-10-3 08:44:25

好贴。拜读!!获益良

cooper_ 发表于 2014-10-3 12:42:30

哈哈,依然是很菜的菜鸟~

LiuCA 发表于 2014-10-4 15:40:50

正在学习中

RAMILE 发表于 2014-10-4 16:17:02

简单的说这个误区就是
HDL不是编程的语言,没有顺序,判断和循环,它是描述原理图的语言,写HDL要先有逻辑线路图

lpandadp 发表于 2014-10-12 12:53:45

正在学习中

fx568000 发表于 2014-12-17 17:37:44

牛逼人的总结

bedlamitemcu 发表于 2015-8-18 11:50:48

谢谢楼主收益匪浅

与狼共舞 发表于 2015-8-18 17:06:48

现在正在学FPGA,看了楼主写的,感觉写的很好,但具体学的过程中,要怎样学呢,不知大神们能否给个指导意见,或介绍基本经典入门书籍啊?现在还是有点茫然
页: [1]
查看完整版本: FPGA 学习的一些误区