suxilong 发表于 2010-10-20 14:39:11

关于按键消抖在约翰逊计数器中的问题!!!

这是通过一个例程改写过来的!不知其中犯了什么错!
按键很难检测,有时可以检测到,并实现移位方向变换的功能,有时按很多下都没能检测到!请问到底出现什么问题???
module johnson(
   clk,rst_n,
   key1,key2,key3,
   led0,led1,led2,led3
);
input clk;//主时钟,50MHz
input rst_n; //低电平复位
input key1,key2,key3;   // 按键接口
output led0,led1,led2,led3;// LED等接口
//------------------------------------
reg delay; //延时计数器
reg cnt;
always @ (posedge clk or negedge rst_n)
if(!rst_n)
begin
delay <= 0;
cnt <= 0;
end
else begin
    delay <= delay+1'b1; //不断计数,周期为320ms
    cnt <= cnt +1'b1;
    end
reg key_value;//键值寄存器
always @ (posedge clk or negedge rst_n)
if(!rst_n) key_value <= 3'b111;
else if(delay == 24'hffffff) key_value <= {key3,key2,key1}; //delay 320ms,锁定键值
//-------------------------------------
reg key_value_r;
always @ (posedge clk or negedge rst_n)
if(!rst_n) key_value_r <= 3'b111;
else key_value_r <= key_value;
wire key_change; //判定前后20ms的键值是否发生了改变,若是,则key_change置高
assign key_change = key_value_r & (~key_value); //check key_value negedge per clk
//------------------------------------
reg stop_start,left_right; //流水灯控制位
always @ (posedge clk or negedge rst_n)
if(!rst_n) begin
stop_start <= 1;
left_right <= 1;
end
else
if(key_change) stop_start <= ~stop_start; //开始结束控制位
else if(key_change) left_right <= 1;   //流水灯方向控制
else if(key_change) left_right <= 0;   //流水灯方向控制
//-------------------------------------
reg led_value_r; // LED值寄存器
always @ (posedge clk or negedge rst_n)//
if(!rst_n) led_value_r <= 4'b1110;
else if(cnt == 24'hfff && stop_start) //流水灯控制
begin
case (left_right) //方向控制
   1: led_value_r <= {led_value_r,led_value_r}; //右移
       0: led_value_r <= {led_value_r,led_value_r}; //左移
   default: ;
   endcase
end
assign{led0,led1,led2,led3} = ~led_value_r;

suxilong 发表于 2010-10-20 14:39:56

已经发过一次了!但是问题还是没有解决,现在只能再次发帖!!!
页: [1]
查看完整版本: 关于按键消抖在约翰逊计数器中的问题!!!