suxilong 发表于 2010-10-18 10:25:32

关于按键消抖疑问

很多都是利用边缘检测法来进行消抖。但很多代码都大同“小异”但以下两个代码异得我有点晕了

首先看静水流深前辈的代码!在本网站下的!
********************************版权声明**************************************
**                           艾米电子工作室
**                                    
**                      http://www.amy-studio.com
**
**-------------------------------------------文件信息----------------------------------------------------------
** 文件名称: key_led.v
** 创建者:艾米电子工作室   静水流深QQ:279625753
** 创建日期:2009.06.22
** 版本号:version0.0.1
** 功能描述:实现按键消抖控制LED亮灭
** 硬件平台:艾米电子工作室开发套餐——EP2C8Q208增强版开发套件
** 版权声明:本代码属个人知识产权,艾米电子工作室保留最终解析权。
**         本代码仅供交流学习,未经艾米电子工作室同意不得用于其它商业用途。
**--------------------------------------修改文件的相关信息--------------------------------------------------
** 修改人:
** 修改日期:               
** 版本号:
** 修改内容:
**
*******************************************************************************/

module key_debounce(rst_n,clk,key,led);
input   rst_n;
input   clk;
input   key;
outputled;
// 通过降采样对key的输入做低通滤波,将其高频分量滤除,得到low_sw值
reg cnt;
always @ ( posedge clk )
    if ( !rst_n )
      cnt <= 18'd0;
    else
      cnt <= cnt + 1'b1;

wiresample_pulse = cnt == 18'h3ffff;
//wiresample_pulse;
//assign sample_pulse = (cnt == 18'h3ffff);
reg   low_sw;
always @( posedge clk )
    if ( !rst_n )
      low_sw <= 1'b1;
    else if (sample_pulse )
      low_sw <= key;
// 在整个low_sw(active_low)有效过程中取一个控制量作为led的控制信号,本示例
// 中使用 low_sw的下降沿

reg   low_sw_r; //将low_sw信号锁存一个时钟周期,延时不是真真的“锁存”
always @ ( posedge clk )
    low_sw_r <= low_sw;
   
wire led_ctrl = low_sw_r & ( !low_sw);
   
reg led;
always @ ( posedge clk or negedge rst_n )
    if ( !rst_n )
    led<= 1'b0;
    else if ( led_ctrl )
   led <= ~led;
endmodule
他的RTL 视图
下载 (45.15 KB)

2010-10-17 09:29
以下是特权关于按键消抖的代码,他是三个按键的!我想原理应该基本一样吧!
但是我发现一样不懂的是,为什么特权写的消抖中,对计数器的计数也有限制!
他多了一个key_an的边缘检测,用来控制计数清零的!
这个我不懂,请问这其中的区别!!!!

////////////////////////////////////////////////////////////////////////////////
// Engineer:               特权
// Revision:
// Revision 0.01 - File Created
// 欢迎加入EDN的FPGA/CPLD助学小组一起讨论:http://group.ednchina.com/1375/
////////////////////////////////////////////////////////////////////////////////

//说明:当三个独立按键的某一个被按下后,相应的LED被点亮;
//                再次按下后,LED熄灭,按键控制LED亮灭

module sw_debounce(
                  clk,rst_n,
                        sw1_n,sw2_n,sw3_n,
                           led_d1,led_d2,led_d3
                  );

input   clk;      //主时钟信号,50MHz
input   rst_n;      //复位信号,低有效
input   sw1_n,sw2_n,sw3_n;         //三个独立按键,低表示按下
outputled_d1,led_d2,led_d3;      //发光二极管,分别由按键控制

//---------------------------------------------------------------------------
reg key_rst;

always @(posedge clkor negedge rst_n)
    if (!rst_n) key_rst <= 3'b111;
    else key_rst <= {sw3_n,sw2_n,sw1_n};

reg key_rst_r;       //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

always @ ( posedge clkor negedge rst_n )
    if (!rst_n) key_rst_r <= 3'b111;
    else key_rst_r <= key_rst;
   
//当寄存器key_rst由1变为0时,led_an的值变为高,维持一个时钟周期
wire key_an = key_rst_r & ( ~key_rst);

//---------------------------------------------------------------------------
regcnt;      //计数寄存器

always @ (posedge clkor negedge rst_n)
    if (!rst_n) cnt <= 20'd0;      //异步复位
      else if(key_an) cnt <=20'd0;
    else cnt <= cnt + 1'b1;

reg low_sw;

always @(posedge clkor negedge rst_n)
    if (!rst_n) low_sw <= 3'b111;
    else if (cnt == 20'hfffff)         //满20ms,将按键值锁存到寄存器low_sw中         cnt == 20'hfffff
      low_sw <= {sw3_n,sw2_n,sw1_n};
      
//---------------------------------------------------------------------------
reg low_sw_r;       //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

always @ ( posedge clkor negedge rst_n )
    if (!rst_n) low_sw_r <= 3'b111;
    else low_sw_r <= low_sw;
   
//当寄存器low_sw由1变为0时,led_ctrl的值变为高,维持一个时钟周期
wire led_ctrl = low_sw_r & ( ~low_sw);

reg d1;
reg d2;
reg d3;

always @ (posedge clk or negedge rst_n)
    if (!rst_n) begin
      d1 <= 1'b0;
      d2 <= 1'b0;
      d3 <= 1'b0;
      end
    else begin                //某个按键值变化时,LED将做亮灭翻转
      if ( led_ctrl ) d1 <= ~d1;      
      if ( led_ctrl ) d2 <= ~d2;
      if ( led_ctrl ) d3 <= ~d3;
      end

assign led_d3 = d1 ? 1'b1 : 1'b0;                //LED翻转输出
assign led_d2 = d2 ? 1'b1 : 1'b0;
assign led_d1 = d3 ? 1'b1 : 1'b0;

endmodule
他的RTL视图
下载 (76.56 KB)

2010-10-17 09:29
页: [1]
查看完整版本: 关于按键消抖疑问