MCU_fans 发表于 2010-10-3 18:03:45

学习《Nios II 那些事儿》中遇到的avalon的问题

有个avalon的问题要请教下,PWM脉冲的verilog程序里的write, read ,byteenable ,chipselect ,这些信号在Nios II 程序中都没用到。是不是可以省略掉?
还有一个PWM_OUT的为什么要这么设置?
http://cache.amobbs.com/bbs_upload782111/files_34/ourdev_587332THFKUA.jpg
(原文件名:未命名.jpg)

yuphone 发表于 2010-10-3 18:38:11

以下我只谈Avalon-MM(memory map,存储映射)接口,不谈其他。
1 write、read、chipselect信号都不是必需信号。
2 写寄存器,需要write写控制和writedate写数据池;由于nios ii是32位的单片机,所以32位及小于32位的数据可以在一个时钟写完。
3 读寄存器,需要read读控制和readdata读数据池。需要注意的是,readdata的位宽必须和writedata一致。
4 chipselect是ip的片选信号,avalon规范91后,丢弃了这个信号,可通过write和read的或来表示。
5 与ip以外的引脚进行通信,需要使用conduit信号,不是必需。
6 byteenable是字节使能信号,4'b0001使能第0字节,因此在驱动有字节使能的芯片,可以直接输出byteenable,譬如:
assign {SRAM_UB, SRAM_LB}=byteennable;
7 想要深入理解,请阅读avalon interface specifications。
8 altera网站上有avalon slave和avalon master的接口模板。
手机回帖,不到位的地方,请见谅。

MCU_fans 发表于 2010-10-3 18:43:40

回复【1楼】yuphone .COM 缺氧®
-----------------------------------------------------------------------

期待ing

yangshuhe33 发表于 2010-10-3 18:55:30

看看总线规范

MCU_fans 发表于 2010-10-3 19:01:25

回复【3楼】yangshuhe33
-----------------------------------------------------------------------

看了,睡着了,又看,有睡着了,实在是顶不住了,才到这边问的...

MCU_fans 发表于 2010-10-3 20:41:58

回复【1楼】yuphone .COM 缺氧®
-----------------------------------------------------------------------

谢谢你详细的解答。
还想请教下:
在Nios 中要在,怎么控制读写?
byteenable在PWM程序中是不是可以省略掉?
页: [1]
查看完整版本: 学习《Nios II 那些事儿》中遇到的avalon的问题