ming1005 发表于 2010-9-27 19:11:19

请教Verilog中关于inout端口的问题

我今天用到了inout双向端口
仿真时在双向端口端没有得到信号(一直是输出状态),
下边出现个和双向端口一样名字带~result信号,刚好是我要得到的信号
请问~result信号是什么意思?
怎么使用inout会好些?
页: [1]
查看完整版本: 请教Verilog中关于inout端口的问题