shdjdq 发表于 2010-9-20 09:34:04

VHDL的数组

请问VHDL中数组怎样定义?怎样引用读写?谢谢

40130064 发表于 2010-9-20 11:31:33

TYPE mybit IS ARRAY(7 DOWNTO 0) OF BIT;自定义数组类型

SIGNAL DBIT:mybit;定义数组

SIGNAL R:bit;

R<=DBIT(2);操作

NJ8888 发表于 2010-9-20 11:39:27

声明
type dactab1 is array(0 to 30) of std_logic_vector(7 downto 0);
因为是常量所以加下面部分
constant dacarray1:dactab1:=
        (
                        "00000001","00000010","00000011","00000110","00001011","00010001","00011000","00100001",
                "00101010","00110100","00111111","01001011","01011000","01100101","01110001","01111111",
                "10001100","10011001","10100110","10110011","10111111","11001010","11010100","11011101",
                "11100110","11101101","11110011","11111000","11111011","11111101","11111110"
        )

调用        DACOUTQ<=dacarray1(count);
        DACOUTI<=254-dacarray1(count);

hxfle 发表于 2010-10-22 10:11:33

回复【2楼】888888888888
-----------------------------------------------------------------------
请问我能用16进制数来定义数组吗?
比如上面的全换成
constant dacarray1:dactab1:=
(
X"01",X"02",X"03",X"04",X"05",X"06",X"07",X"08",X"09",X"0a",X"0b",X"0c",……X"1E"
)
页: [1]
查看完整版本: VHDL的数组