wuyuewenhao 发表于 2010-9-19 21:25:35

请高手指教一下 FPGA分频计数的问题

reg cnt;

always@(posedge clk)
       
begin
          cnt <= cnt+1'b1;
end       
assignbuzzer=cnt;


endmodule
这个 时间这么算啊    cnt   这个最高位是   记2的20次方个数吧   然后再乘   机器周期   是么   请高手指教

bad_fpga 发表于 2010-9-19 21:53:02

这个不会一直输出是0么?位宽只有20,cnt最高位肯定是0了,

yuphone 发表于 2010-9-20 07:01:15

回复【1楼】bad_fpga
-----------------------------------------------------------------------

你讲的对。可参考一下我的拙文。http://www.cnblogs.com/yuphone/archive/2010/02/08/1666130.html

t2397362 发表于 2010-9-20 07:37:37

这个编译能过吗。。。。。
页: [1]
查看完整版本: 请高手指教一下 FPGA分频计数的问题