tiancaihu 发表于 2010-9-19 18:20:59

请高人帮我看看这个小程序哪有问题,谢谢,调试时说+号有问题

Library ieee;
USE ieee.STD_LOGIC_1164.ALL;
USE ieee.STD_LOGIC_ARITH.ALL;
USE ieee.STD_LOGIC_UNSIGNED.ALL;
USE ieee.STD_LOGIC_SIGNED.ALL;
ENTITY ADDER IS
PORT(IN1:IN BIT_VECTOR;
   IN2:IN BIT_VECTOR;
   CNT1:IN BIT;
   POUT:OUT BIT_VECTOR);
END ADDER;
ARCHITECTURE BHV OF ADDER IS
BEGIN
PROCESS(CNT1)
BEGIN
    IF CNT1='1' THEN
      POUT<=IN1+IN2;
    else NULL;
    END IF;
END PROCESS;
END BHV;

40130064 发表于 2010-9-20 11:17:34

BIT_VECTOR 只支持逻辑运算和比较运算。 不能用+

POUT<=IN1 OR IN2;

不明白你这个程序是什么意图

tiancaihu 发表于 2010-9-20 22:01:29

谢谢,是带控制端口的加法器

40130064 发表于 2010-9-21 13:24:21

方法不对。
1位加法器是用 逻辑运算 计算出来的。
页: [1]
查看完整版本: 请高人帮我看看这个小程序哪有问题,谢谢,调试时说+号有问题