40130064 发表于 2010-8-28 19:34:23

绝对原创 超帅VHDL TFT LCD屏控制器()

花了我半个月休息时间,给大家分享下。用的320*240LCD,EP2C5,LPC2148测试,因为大屏没电源。要换其它屏的话要点时间。
这电路对时序控制很严,因为外部数据是从行频计数肪冲间隙写进去的。做它的目的是显示视频。下一个目标是用FPGA视频采积。


http://cache.amobbs.com/bbs_upload782111/files_32/ourdev_578393.jpg
图1 (原文件名:1.jpg)

http://cache.amobbs.com/bbs_upload782111/files_32/ourdev_578394.jpg
图1 (原文件名:2.jpg)

视频ourdev_578395.rar(文件大小:24.61M) (原文件名:3.rar)
屏资料ourdev_578396.rar(文件大小:410K) (原文件名:WXCAT35-TG3_001F_V1.2(60pin).rar)
CPLD程序ourdev_578397.rar(文件大小:850K) (原文件名:PSP.rar)
MCU程序ourdev_578398.rar(文件大小:1.29M) (原文件名:mcu.rar)

mcukilller 发表于 2010-8-28 19:35:29

mark~~坐沙发了

maqingbiao 发表于 2010-8-28 19:36:59

MARK

wanwzy 发表于 2010-8-28 20:36:30

mark

forthisforthat 发表于 2010-8-28 20:45:53

下来看看

edaworld 发表于 2010-8-28 21:12:51

mark

KunShan_a_dai 发表于 2010-8-28 21:17:13

不错,等楼主视频采集。

1181zjf 发表于 2010-8-28 21:17:42

shangdawei 发表于 2010-8-28 21:25:54

下来看看

lwy86 发表于 2010-8-28 21:35:19

mark

tear086 发表于 2010-8-28 21:38:08

cool

hl1200aa 发表于 2010-8-28 21:41:31

刚刚学数电的路过,仰望一下。

lvhaian 发表于 2010-8-28 21:56:24

这个技术将渐渐被大家接收。

非常喜欢, 顶。

yuhang 发表于 2010-8-28 22:01:28

好 顶一个

hekun559 发表于 2010-8-28 23:17:49

mark

mcucow 发表于 2010-8-28 23:24:54

lz: 能否把你的方法, 说清楚一点, 不太明白?

。。。因为外部数据是从行频计数肪冲间隙写进去的。。。

40130064 发表于 2010-8-29 00:21:34

大概说下吧
首先这个是针对CPLD设计的,程序只是能完成功能,并没有优化和整理。

有两种RGB数据输入方法,一种要地址(画图用),一种不要地址(全屏图片)。

行扫描是用50MCLK经分频得到A,对A计数器产生的,场扫描用行扫描信号计数(75帧)。
A信号的的前半周(MCU写入数据在这段时间完成)内有个肪冲,就是RAM的WE,控制数据写入RAM。WE位置一定要对。一行能写500个点。


A信号的后半周(LCD读入数据在这段时间完成)。读RAM是用地址信号的变化读的,没有其它控制信号,RAM资料上有这种方式。一行只会读320个点(屏行分辩率)。读的地址也是对A计数产生的。

读写都和A同步。

因为A信号是不停的,写和读都是一直在进行。所以外部RGB输入数据只要不大于75帧,就可以工作。(不过这点没验证,数据快了也许写时会丢,但丢少量的话没影响)

重点在向SRAM写数这段。

avrpicarm 发表于 2010-8-29 02:36:53

不错

elec2000 发表于 2010-8-29 07:13:38

不错,好人

shanyan 发表于 2010-8-29 14:27:23

mark

fnagly8848 发表于 2010-8-29 20:09:30

mark

fjhcpu 发表于 2010-8-29 21:48:56

经典帖子。。记号

whym1987 发表于 2010-8-30 21:47:51

好想玩玩

TigerRay 发表于 2010-8-30 22:53:53

等 到你出来了!呵呵!我也正在研究中啊!反正就是读写RAM数据时候到TFT这个有点问题啊!

ljt8015 发表于 2010-8-30 22:58:53

mark!~

ljt8015 发表于 2010-8-30 23:06:52

楼主上原理图!~~

eworker 发表于 2010-8-30 23:08:20

cool

caozhu 发表于 2010-8-30 23:32:16

不错哦~

40130064 发表于 2010-8-31 08:25:15

回复【25楼】ljt8015
-----------------------------------------------------------------------

我没画板用学习板做的 MCU图就不发了

ourdev_578985.pdf(文件大小:62K) (原文件名:EP2C5.pdf)

moen 发表于 2010-8-31 08:27:13

ding一定要顶

hwdpaley 发表于 2010-8-31 10:28:41

很好,谢谢楼主了。

hwdpaley 发表于 2010-9-3 12:00:55

WE信号,为什么要加那么多D触发器呢?lz可以解释下吗?

40130064 发表于 2010-9-4 10:32:33

WE是SRAM的读写控制端,加D触发器是为了调节WE的时序.

Forever 发表于 2010-9-4 14:12:55

不错,顶了!

ammcu 发表于 2010-9-4 17:47:57

mark

Junker 发表于 2010-9-4 20:42:15

mark

zhouting928 发表于 2010-9-4 21:30:04

mark

zbjzxc 发表于 2010-9-4 21:42:26

记号~~

TigerRay 发表于 2010-9-8 15:57:16

回复【楼主位】40130064
-----------------------------------------------------------------------

高手啊!怎么我的双向IO就不能用呢!仿真出来的数据可以看到,操作外部存储器的时候,数据口怎么都为高一个数据信号 ,怎么都不行啊!

eeko2005 发表于 2010-9-9 12:04:56

mark

40130064 发表于 2010-9-9 12:25:25

回复【41楼】eeko2005
-----------------------------------------------------------------------

双向IO仿真结果不一定和硬件实际结果一样我也没搞明白

http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=4224081&bbs_page_no=1&search_mode=3&search_text=40130064&bbs_id=9999

cookie2g 发表于 2010-9-9 13:20:11

mark

zqd311107 发表于 2010-9-9 13:25:38

mark

ldqmoon 发表于 2010-9-9 13:57:25

mark

dengxiaofeng 发表于 2010-9-9 15:01:57

恩 不错的东西哈

xtaens 发表于 2010-9-9 18:19:03

经典啊,留着以后学习

gwh1128 发表于 2010-9-9 18:26:21

我也搞出来了,呵呵,

fghfhgfh 发表于 2010-9-10 13:33:36

mark

czxf 发表于 2010-9-10 14:48:36

mark

cana11225 发表于 2010-9-12 11:59:12

mark

beiguoqishi 发表于 2010-9-12 21:44:58

标记一下,留着将来用。

PXJ_520 发表于 2010-9-13 00:16:41

mark

niba 发表于 2010-9-13 22:47:34

不错。。

hdd961140543 发表于 2010-9-14 22:02:59

mark!

kenson 发表于 2010-9-14 22:48:25

COOL 得好道理谢谢

flyingcys 发表于 2010-9-18 13:44:19

MARK

avrwoo 发表于 2010-9-18 17:14:55

mark

sunjie718 发表于 2010-9-19 08:14:00

回复【楼主位】40130064
-----------------------------------------------------------------------

cool

damoplus 发表于 2010-9-19 08:32:21

mark!

sunemnqsu 发表于 2010-9-19 08:45:05

呵呵,厉害啊,我下个阶段就是做TFT的核了···

qfmcu 发表于 2010-9-19 09:41:45

mark

lsw0136 发表于 2010-9-19 10:46:52

mark

Forest_liu 发表于 2010-9-24 15:00:52

楼主强!!!

icdiscovery 发表于 2010-9-26 10:08:25

借鉴一下,谢谢!

xiao_guo 发表于 2010-9-26 12:58:44

好帅啊!

cookie2g 发表于 2010-9-26 13:13:00

mark

wzhansen 发表于 2010-9-26 17:17:49

MARK

739797340 发表于 2010-9-27 10:51:42

jsongyan 发表于 2010-9-27 12:25:42

感谢分享

haso2007 发表于 2010-9-27 16:18:30

mark,某年某月的某一天,我会用到的

qfmcu 发表于 2010-9-27 16:35:21

mark

jandswork 发表于 2010-9-27 17:58:22

感谢分享

heibaogame 发表于 2010-10-14 12:47:49

MARK

ljt8015 发表于 2010-10-16 14:10:38

既然ssd1963能驱动tft大屏,为什么还有很多人采用cpld+sram的方式去驱动呢?

HYLG 发表于 2010-10-16 14:19:56

酷酷.

40130064 发表于 2010-10-16 14:29:26

回复【76楼】ljt8015
-----------------------------------------------------------------------
最大的好处是灵活,通迅方法想怎么玩都行.

用于仪器上,CPLD保密性算高的了.

ljt8015 发表于 2010-10-16 14:56:13

回复【78楼】40130064
回复【76楼】ljt8015
-----------------------------------------------------------------------
最大的好处是灵活,通迅方法想怎么玩都行.
用于仪器上,cpld保密性算高的了.
-----------------------------------------------------------------------

保密不一定要用这个方法的啊,除了这个没别的了?成本增加了不少哟!~

281229961 发表于 2010-10-16 15:53:21

mark

inele 发表于 2010-10-16 16:04:02

楼主这人屏最大支持到多少分辨率呢,成本怎么样? 显示视频也可以使用tw8816这种驱动芯片! 我现在考滤tw8823

TigerRay 发表于 2010-10-18 09:13:01

回复【78楼】40130064 博德之门IV
-----------------------------------------------------------------------

这么久没有看到你来了,现在看到你了啊呵呵!你显示的时候有没有出现杂点呢!就写的时候!现在我这里写的时候出现有杂点,只有写就有,频率高点看到的杂点要小点,频率低了就出现大的杂点!但是升频也能在升了,不知道你是怎么解决的呢?能否分享一下谢谢了!

ju748 发表于 2010-10-18 09:17:08

谢谢分享

40130064 发表于 2010-10-18 09:54:43

回复【82楼】TigerRay
-----------------------------------------------------------------------

有杂点,这是因为那个KT的原因.如果你是用的我这个程序

ENTITY KT IS
   PORT (
      WEN2                     : IN std_logic;
      RADDRIN            :IN std_logic_vector(17 DOWNTO 0);
      VSFADDRIN            :IN std_logic_vector(17 DOWNTO 0);
      ADDROUT             :OUT std_logic_vector(17 DOWNTO 0));

END KT ;

ARCHITECTURE EP2C5 OF KTIS
BEGIN
PROCESS(WEN2,RADDRIN,VSFADDRIN)

BEGIN
    if(WEN2 ='0')THEN
   ADDROUT<= VSFADDRIN;
   else
    ADDROUT<=RADDRIN ;
   END IF;
END PROCESS;
                                          
END EP2C5;

TigerRay 发表于 2010-10-18 14:19:41

回复【84楼】40130064 博德之门IV
-----------------------------------------------------------------------

这样还有杂点不?呵呵,有一部分是参照到你的写的,哎,没有想到啊,屏的DATASHEET有时候也有问题的,在那里我还改了一些呵呵!现在的问题就是写数据的时候有杂点!看到不舒服啊!所以就来问问你了!这个问题得不到解决那也是不成功的啊!呵呵!现在你也在做,所以就请教一下你了!

quzegang 发表于 2010-10-18 15:04:27

mark

xuser 发表于 2010-10-22 13:54:35

学习,谢谢分享。

l1715s 发表于 2010-10-25 15:54:14

mark

lvgaopan 发表于 2010-10-25 16:03:29

mark谢谢分享

zzjjhh250 发表于 2010-10-25 18:58:52

VHDL TFT LCD屏控制器

shiguiyuan 发表于 2010-10-26 10:57:05

Mark

relotus 发表于 2010-10-26 12:45:15

mark

bittern 发表于 2010-10-28 15:15:20

PSP.rar 解压错误哦?

40130064 发表于 2010-10-28 16:28:19

神奇 今天才出错

dsyayo 发表于 2010-10-28 20:43:12

现在正在搞TFT液晶~~准备用430~FPGA正在学习中~~

hdd961140543 发表于 2010-10-28 21:19:00

这个一定要记号,楼主太帅气了!~

steven_sd 发表于 2010-11-2 13:52:23

mark....

RScs 发表于 2010-11-2 13:58:46

mark....

adai 发表于 2010-11-2 14:13:39

mark

XD-ARM 发表于 2010-11-13 15:59:22

NBA!

albert_lu 发表于 2010-11-16 06:04:56

MARK

geniusjia 发表于 2010-11-16 08:59:25

牛人!
页: [1] 2
查看完整版本: 绝对原创 超帅VHDL TFT LCD屏控制器()