32464295 发表于 2010-8-26 16:08:08

请教 ADS8364 与 2812 的 连接图 应用实例

ads8346 好多引脚看见就头大

怎样与DSP2812连接   我还没搞明白。。

怎样驱动也头大!!
各位大哥大姐有没有一些资料。。

还有 ADS8346前面的信号调理电路我也没把握。。。

我是做的 低压 三相电流电压的瞬时采集

fickle 发表于 2010-8-26 19:33:13

是ads8364吧?

官方提供了应用文档。
页: [1]
查看完整版本: 请教 ADS8364 与 2812 的 连接图 应用实例