li0o0o0o0o0o 发表于 2010-8-17 20:54:24

ISE 和EDK怎么联系起来用啊。它们IP可以相互调用?

不懂

elecangel 发表于 2010-8-18 20:59:51

可以互相调用
ISE调EDK》
1.把EDK项目的mhs文件里的外部port做为器件的接口
2.在ISE项目的top level代码里加上这个instance,比如cpu.mhs(比如EDK项目叫cpu)
3.在项目原里面像加.vhd文件那样加上EDK的cpu.xmp文件
4.要在EDK里编译cpu项目,产生netlist

EDK调ISE》
1,用create and import peripheral wizard把Verilog或是Vhdl代码封包(IP core)
2, 在EDK调用这个包

有些具体过程,不多说了。可以参考xilinx的文档,xilinx的网站上都有,建议看英文,看不懂就硬看,查字典看

elecangel 发表于 2010-8-18 21:03:25

对了忘了说了,你的VHDL和verilog代码包药有IPIF的和EDK里的CPU总线连接。或是和epc连接,用epc不用IPIF,这个方便

li0o0o0o0o0o 发表于 2010-8-19 15:08:29

回复【2楼】elecangel
---------------------------------------------------------------------
谢谢哦。终于有人回答了。好像有点懂了。不懂再找你哈。。

li0o0o0o0o0o 发表于 2010-8-19 15:35:58

回复【2楼】elecangel
-----------------------------------------------------------------------

再问下。EDK调用ISE。你学的时候是看哪个资料学的啊,实在不好找资料啊。英文的好。拜拖了。这个问题卡好几天了。/

jxlannie 发表于 2010-11-20 20:54:01

回复【楼主位】li0o0o0o0o0o
-----------------------------------------------------------------------

楼主你那还有这方面的资料吗?我现在也找不到啊!!能给我一份吗?jxl_annie@126.com我的邮箱,帮帮忙吧,谢谢啦!!
页: [1]
查看完整版本: ISE 和EDK怎么联系起来用啊。它们IP可以相互调用?