li0o0o0o0o0o 发表于 2010-7-31 10:15:23

3分频 波形仿真正确啊,综合有错/为什么啊 软件是XILINX ISE

module b3(clk,rst,clk_3
    );
input clk;
input rst;
output clk_3;
reg clk_a,clk_b;
reg clk_c,clk_d;


assign clk_3 = clk_c | clk_d;

always @(posedge clk or rst)
        if(!rst)
                begin
                        clk_a <= 2'b00;
                        clk_c <= 0;
                end
        else
                begin
                        if(clk_a == 0)
                                clk_c <= 1;
                        else
                                clk_c <= 0;
                               
                        if(clk_a == 2)
                                clk_a <= 2'b00;
                        else
                                clk_a <= clk_a + 1;
                end
               
always @(rst or negedge clk)
        if(!rst)
                begin
                        clk_b <= 2'b00;
                        clk_d <= 0;
                end
        else
                begin
                        if(clk_b == 0)
                                clk_d <= 1;
                        else
                                clk_d <= 0;
                       
                        if(clk_b == 2)
                                clk_b <= 2'b00;
                        else
                                clk_b <= clk_b+1;
                end

endmodule

li0o0o0o0o0o 发表于 2010-7-31 10:18:23

ERROR:Xst:902 - "b3.v" line 32: Unexpected rst event in always block sensitivity list.
ERROR:Xst:902 - "b3.v" line 51: Unexpectedevent in always block sensitivity list.

yvhksovo 发表于 2010-7-31 20:12:49

是不是该(posedge clk or negedge rst)?敏感列表里面不能有电平敏感和条边沿敏感两种同时存在.

li0o0o0o0o0o 发表于 2010-8-2 15:35:07

貌似是这样的回复【2楼】yvhksovo
-----------------------------------------------------------------------

这样改了的确可以了,不过好像两种能同存在的吧

pontiff 发表于 2011-10-7 02:08:08

可以同时存在,但不可综合,软件会报错,所以不建议混在一起。

g47603690 发表于 2011-10-7 11:13:10

双沿写2个always就行了

flashfly 发表于 2011-10-9 17:48:28

回复【楼主位】li0o0o0o0o0o
-----------------------------------------------------------------------

在always块的条件里面不允许出现两种逻辑方式的,你可以在always里面设置这个逻辑方式,但是在这里写的时候一定要写一致了,要么都是沿信号,要么都是电平信号。否则一定会报错

frankmeng 发表于 2011-10-12 11:38:52

回复【楼主位】li0o0o0o0o0o
-----------------------------------------------------------------------

学习
页: [1]
查看完整版本: 3分频 波形仿真正确啊,综合有错/为什么啊 软件是XILINX ISE