ncuhmily 发表于 2010-7-8 15:49:06

VHDL中小数的运算应该如何定义?

请问:在VHDL中小数的运算应该如何定义?比如定义了a为std_logic_vector类型,我想让a乘以一个小数或者除以2之后输出一个小数,应该怎样定义?举个例子:3/2=1.5应该怎么定义?

yuhang 发表于 2010-7-8 16:17:34

乘以一个大数,都转换成整数去算

30/2=15

tear086 发表于 2010-7-8 16:24:59

关注。
页: [1]
查看完整版本: VHDL中小数的运算应该如何定义?