ggg12345 发表于 2010-6-6 00:30:57

一个问题:一个双口RAM的功能可以CPLD或者FPGA内部资源实现设计吗?

一个问题:一个双口RAM的功能可以CPLD或者FPGA内部资源实现设计吗?

此RAM是CPLD或者FPGA实现的,非外扩

TornadoYu 发表于 2010-6-6 00:32:43

Xilinx不是有Dual Port RAM的IP core么。。。。

ggg12345 发表于 2010-6-6 00:42:29

回复【1楼】TornadoYu
xilinx不是有dual port ram的ip core么。。。。
-----------------------------------------------------------------------

太好了,能否说一下是哪个型号啊
还是每个型号都有啊

是不是还可以配置成4口的RAM的型号?

ngzhang 发表于 2010-6-6 01:02:01

你要多少容量?时序是什么样的要求?

ggg12345 发表于 2010-6-6 01:34:23

我计划双口的RAM接两个51或者两个ARM7的MCU
应该都要几十MHz的频率吧

容量要求不高,如果能实现1k byte就非常不错了

minux 发表于 2010-6-6 02:18:32

你这个需求不高啊,只要是自带足够RAM的FPGA就都能实现(而且Altera和Xilinx都有Dual Port RAM的IP核)。

NJ8888 发表于 2010-6-6 07:24:42

你的方案好像不好,两个控制器之间弱耦合的系统比较好,你这强耦合,大量数据在交互。

hulaoban 发表于 2010-6-6 13:12:55

回复【6楼】888888888888
你的方案好像不好,两个控制器之间弱耦合的系统比较好,你这强耦合,大量数据在交互。
-----------------------------------------------------------------------

我就是要实现强耦合,有大量数据交换,这种速度应该比任何的通讯接口都要强吧

ngzhang 发表于 2010-6-6 14:30:22

回复【4楼】ggg12345
我计划双口的ram接两个51或者两个arm7的mcu
应该都要几十mhz的频率吧
容量要求不高,如果能实现1k byte就非常不错了
-----------------------------------------------------------------------

如果你能保证访问冲突的问题(也就是不要两端同时读写同一个字即可),那么用FPGA很容易实现,例如最低的那个Spartan3-50AN.至于怎么实现,呃,一句两句话说不清楚。

ggg12345 发表于 2010-6-7 00:20:58

谢8楼,刚刚上网去搜索了一下


Sprtan3核心模块板采用的是Xilinx公司Spartan3系列FPGA芯片,采用PQ208封装(容量有20、40万门可供选择),其配置芯片为Xilinx公司的专用配置PROM芯片XCF02S,以实现加电自动配置。核心板采用5V输入,板上有三块AS1117电源芯片分别输出3.3V、2.5V、1.2V电压。板上采用40MHz有源晶振(可通过晶振插座更换不同频率的晶振),满足高速设计要求。核心板140只I/O口全部引出,通过标准IDC插座插在简易扩展底板上,简易扩展底板最大限度的引出了核心板上的I/O口,与功能扩展板相连,用户可以根据自己的需求选配不同的扩展模块板。现有绿色和蓝色两种颜色可选。


Sprtan3多少钱一片啊

ngzhang 发表于 2010-6-7 00:24:04

回复【9楼】ggg12345
-----------------------------------------------------------------------

XC3S50AN的价格是25元左右,内置配置芯片,VQG144封装。

ggg12345 发表于 2010-6-7 18:54:40

多谢楼上
页: [1]
查看完整版本: 一个问题:一个双口RAM的功能可以CPLD或者FPGA内部资源实现设计吗?