indianasan 发表于 2010-5-5 16:40:18

请教有关FIFO的问题

Error (10481): VHDL Use Clause error at top.vhd(9): design library "UNISIM" does not contain primary unit "VComponents"
我编译程序的时候出现这个错,是怎么回事啊?
请高手指教。
Error (10481): VHDL Use Clause error at top.vhd(9): design library "UNISIM" does not contain primary unit "VComponents"
页: [1]
查看完整版本: 请教有关FIFO的问题