Elifee 发表于 2010-5-4 09:45:53

示波器时钟模块的问题请教

在魏坤的第一版示波器中用到AD9833来产生15M以下的时钟信号,15M以上的时钟信号是直接由有源晶振产生的,但是我测得晶振直接输出的是正弦波,请问正弦波可以作为AD的时钟信号吗?

fobyellow 发表于 2010-5-12 12:53:07

回复【楼主位】Elifee
-----------------------------------------------------------------------

原则上来讲,需要方波,因为上升下降沿的速度快,可以减少jitter,但是一般ADC的encode端口的输入电路都有一级buffer,所以输入正弦波也会得到同样的方波信号。不过需要注意的是在MOS翻转电平内的pulse_width一定要符合ADC的时序要求
http://cache.amobbs.com/bbs_upload782111/files_29/ourdev_553397.jpg
(原文件名:未命名1.jpg)
页: [1]
查看完整版本: 示波器时钟模块的问题请教