yll229 发表于 2010-4-14 17:13:07

求救!谁有FPGA直接读写SDRAM的Verilog代码详细一点的,急用!!!本人调试很久了,没通过

FPGA直接读写SDRAM的Verilog代码,调试很久了,始终不成功,有谁有调试成功的代码,可否传上来看看!先谢谢各位了

zlf667788 发表于 2010-4-16 13:45:10

DE1,DE2的光盘中都有这方面相关的例程

chenjm 发表于 2013-4-26 15:32:43

同求!!!!!!!!!

youpeng 发表于 2013-10-23 14:33:01

chenjm 发表于 2013-4-26 15:32 static/image/common/back.gif
同求!!!!!!!!!

朋友也在做这方面?{:handshake:}

sun_changdong 发表于 2013-10-24 17:05:34

也想学这一块!
页: [1]
查看完整版本: 求救!谁有FPGA直接读写SDRAM的Verilog代码详细一点的,急用!!!本人调试很久了,没通过