tear086 发表于 2010-3-20 11:58:07

被Cyclone III搞得死去活来,特来求教。epcs@ciii复位无法boot

硬件:EP3C16+SDARM_64MB+EPCS16
软件:Quartus II+Nios II9.1 SP1
      Quartus II+Nios II8.1

问题描述:SW可以正常运行在DRAM里面;但是Flash编程后,无法从EPCS16启动。
屡试有爽,无奈了,特来求助。

hanbin08041813 发表于 2010-3-20 13:11:50

峰哥啊这个我也在   不知道啊   焊接好板子后   发现有些USB BLUSTER不支持III 的   NND 啊   你搞定死去活来了我焊接的死去活来了   现在还好    焊接是好了但是    呵呵    usbbluster得升级了    200元的那个 不支持   康芯的那个却是可以的先把这个抄了再说哈哈呜呜我的LCD 啊   stm32嫁接 上去了   不对啊    NND

tear086 发表于 2010-3-20 13:47:23

回复【1楼】hanbin08041813
-----------------------------------------------------------------------

淡淡老弟,我们艾米的100块的USB-Blaster就能用,淘宝上有的几十块的Usb-Blaster也没问题。你不要误导大家。这是QII和NII的问题。

kk2614755 发表于 2010-3-20 13:58:17

USB-Blaster要升级啊

alpaca 发表于 2010-3-20 14:19:46

是Quartus II 9.1的BUG吧,不知道sp1是否修正了这个BUG...

msdy 发表于 2010-3-20 14:42:35

quartus ii 9.1软件问题,你试一下用8.0的.

McDeggy 发表于 2010-3-20 16:17:20

哈哈 今天我也试了下,一共两个版本的USB-Blaster 一种200多的,一种是康芯那个小小个,看起来山寨的那种。居然是康芯的能支持iii,200多的不支持,汗一个,有谁知道原因在哪里的?我自己做的usb-blaster也不支持iii。我用的是8.0版本的quartus。

McDeggy 发表于 2010-3-20 16:21:23

额,一楼的兄台,不就坐在我背后的座位上嘛,我说怎么你碰到的问题跟我一样的。天呐,ourdev太小了,这都能碰上。

liurangzhou 发表于 2010-3-20 16:27:13

注意你的复位相量

tear086 发表于 2010-3-20 16:44:11

回复【8楼】liurangzhou
注意你的复位相量
------------------------------------------------------------------

呵呵,谢谢提醒。DRAM是正常的。

guke 发表于 2010-3-21 16:48:14

我前段时间也遇到这样的问题,最后发现是NiosII9.0的bug,换到NiosII8.1上之后,Flash编程后就可以自启动。
我前面发的帖子里,你还Mark了一下,不知你是不是也是同样的情况


Altera网站上的support:
http://www.altera.com/support/kdb/solutions/rd06022009_270.html

tear086 发表于 2010-3-21 16:59:43

回复【10楼】guke
我前段时间也遇到这样的问题,最后发现是NiosII9.0的bug,换到NiosII8.1上之后,Flash编程后就可以自启动。
我前面发的帖子里,你还Mark了一下,不知你是不是也是同样的情况
Altera网站上的support:
http://www.altera.com/support/kdb/solutions/rd06022009_270.html
-----------------------------------------------------------------------

这是我的疏忽,我再测试一下。

liurangzhou 发表于 2010-3-22 09:51:34

回复【9楼】tear086 .COM缺氧
回复【8楼】liurangzhou   
注意你的复位相量
------------------------------------------------------------------
呵呵,谢谢提醒。DRAM是正常的。
-----------------------------------------------------------------------

要指向启动的FLASH才行

tear086 发表于 2010-3-22 10:37:30

回复【12楼】liurangzhou

要指向启动的FLASH才行
-----------------------------------------------------------------------

就是这个问题,搞得郁闷了。不晓得是版本问题还是配置问题。Cyclone II我是用得得心应手,CIII就死去活来了。

elewzgl 发表于 2010-3-22 23:26:38

从EPCS16启动,省了并行的flash,可以节省不少IO啊,楼主的问题解决了吗?

tear086 发表于 2010-3-23 14:22:10

回复【14楼】elewzgl
从EPCS16启动,省了并行的flash,可以节省不少IO啊,楼主的问题解决了吗?
-----------------------------------------------------------------------

没呢,持续崩溃ing。

elewzgl 发表于 2010-3-24 00:32:02

呵呵,加油,ep3c25有TPFQ封装的,用起来应该蛮好的。以前搞S3C2440在MDK环境下从flash启动(裸奔)也很崩溃,从SRAM启动是OK
的,参考了很多坛子里的范例,就是不成功。后来只好看MDK自带的启动代码,最终发现还是得搞清楚底层才行啊

poiujm 发表于 2010-3-26 10:21:02

我用EP3C16F484C6的片子和EPCS16自己画的板子,软件用Quartus II & NIOS II IDE v9.1,程序存储在EPCS16中,跑在DDR2-400的内存里,很好用。
楼主是否用了NIOS II IDE中的Flash Programmer,Altera的软件我都是第一时间更新的,目前一直都没出过问题。
正确的操作步骤是,USB Blaster 插JTAG口,用Quartus Programmer烧.sof到FPGA,再启动NIOS II IDE中的Nios II菜单下的Flash Programmer,文件菜单新建一个配置,然后把.sof和.elf加到里面,然后点Start烧写,一切就OK了。
另外还是要确认下Nios II CPU 的Reset Vector是不是指向了EPCS Controller。

tear086 发表于 2010-3-26 11:10:22

回复【17楼】poiujm
我用EP3C16F484C6的片子和EPCS16自己画的板子,软件用Quartus II & NIOS II IDE v9.1,程序存储在EPCS16中,跑在DDR2-400的内存里,很好用。
楼主是否用了NIOS II IDE中的Flash Programmer,Altera的软件我都是第一时间更新的,目前一直都没出过问题。
正确的操作步骤是,USB Blaster 插JTAG口,用Quartus Programmer烧.sof到FPGA,再启动NIOS II IDE中的Nios II菜单下的Flash Programmer,文件菜单新建一个配置,然后把.sof和.elf加到里面,然后点Start烧写,一切就OK了。
另外还是要确认下Nios II CPU 的Reset Vector是不是指向了EPCS Controller。
-----------------------------------------------------------------------

Nios II9.1 Software Build Tools for Eclipse
Reset Vector指向了EPCS Controller。

大哥,你的板子MSEL管脚怎么配置的?
JTAG是2.5V,还是3.3V,有没有影响

linkin8834 发表于 2010-3-26 11:35:44

我记得要用CYCLONE 3的EPCS FLASH需要你自己去改一些QUARTUS的设置的,还有自己去加管脚绑定。在SETTINGS里面要改DEVICE & PIN SETTINGS的,我记得要把三个脚改为REGULAR I/O。

linkin8834 发表于 2010-3-26 11:37:12

In Cyclone® III devices, the EPCS controller does not automatically assign its output pins to the dedicated configuration pins on the FPGA. Instead, the output pins are exported to the top level design, giving users the flexibility to connect to any EPCS devices.
Therefore, in order to use the EPCS Configuration Controller the pins must be assigned manually, and the following settings must be made the Quartus® II software.
Please follow these steps:

   1. Choose Settings from the Assignments menu
   2. Click Device and Pin Options from the Device category
   3. Click Dual-Purpose Pins and then make the following changes:

Data -> Use as regular I/O
Data -> Use as regularr I/O
DCLK -> Use as regular I/O
FLASH_nCE/nCS0 -> Use as regular I/O
You must check the device Pin-Out file for the Cyclone III device which you are using to make the following pins assignments:
data0_to_the_epcs_controller -> DATA0
sdo_from the_epcs_controller -> DATA1,ASDO
dclk_from_epcs_controller -> DCLK
sce_from_the_epcs_controller -> FLASH_nCE

你可以看看这个,http://www.altera.com/literature/dp/cyclone3/EP3C25.pdf

tear086 发表于 2010-3-26 11:53:06

回复【20楼】linkin8834
-----------------------------------------------------------------------

看过了,谢谢大哥。

poiujm 发表于 2010-3-27 09:25:06

"linkin8834"说的很对,那四个多用途配置引脚需要设成Regular IO,且需要分配EPCS Controller信号引脚上。我也是这么做的,那个MSEL选的是Standard AS 3.3V,JTAG供电为2.5V,不过我估计3.3V可能也行,我板上画的3.3VJTAG后来飞线改成了2.5V,主要是怕损毁器件,F484封装的又贵又难焊……
你要是JTAG逻辑下载的成功,Nios程序应该也行的,用个计数器分频给个测试信号检验下。如果担心的话,就像我一样飞线吧,要飞3根,还好了。
楼主对回复者统称大哥是么,哈哈,我研一的,要迟到了得去实验室了。楼主调好了发帖总结下吧。

tear086 发表于 2010-3-27 10:07:46

再次谢谢大哥,小弟大四。

guke 发表于 2010-3-27 19:15:02

回复【20楼】linkin8834
In Cyclone® III devices, the EPCS controller does not automatically assign its output pins to the dedicated configuration pins on the FPGA. Instead, the output pins are exported to the top level design, giving users the flexibility to connect to any EPCS devices.
Therefore, in order to use the EPCS Configuration Controller the pins must be assigned manually, and the following settings must be mad......
-----------------------------------------------------------------------

恩,我也曾经遇到这个问题,唉,当时没有找到ourdev这个宝地,很久才解决这个问题,要是早知道这里就好了

ldmsunnyldm 发表于 2010-6-4 15:07:02

tear086 .COM 缺氧   大哥

研究得怎样啦,总结一下啊。

ldmsunnyldm 发表于 2010-6-4 20:24:44

tear086 .COM 缺氧   大哥

研究得怎样啦,总结一下啊。

我用的也是cylone iii 芯片

ldmsunnyldm 发表于 2010-6-5 13:17:06

tear086 .COM 缺氧   大哥

研究得怎样啦,总结一下啊。

我用的也是cylone iii 芯片

Crazy_Bingo 发表于 2010-6-5 21:50:31

我缺氧了 我的ASP   
最终确定 应该是布线上的问题没等长
牙缝弟弟   
想必你的也是吧

tear086 发表于 2010-6-5 21:55:44

回复【28楼】Crazy Bingo
-----------------------------------------------------------------------

淡淡老弟,你牛。

ldmsunnyldm 发表于 2010-6-5 23:06:42

Problem

Why does my Cyclone III Nios II system not boot up from an EPCS device when I program the EPCS with Flash Programmer ver.9.0?
Solution

Nios® II embedded processor executable code is not correctly programmed on an EPCS device with version 9.0 and 9.0sp1 Nios II Flash Programmer tool chain.

Version 9.0 and 9.0sp1 contain a bug in the tool chain. To solve this problem, contact Altera® technical support via mySupport to request the Nios II EDS 1.01 patch.

This problem will be fixed by Nios II EDS version 9.0sp2 .



qutues ii9.0 有BUG,这是真的吗?我用的就是9.0的,搞不出来,程序下载进去了程序不执行。

maqingbiao 发表于 2010-6-6 21:06:14

In Cyclone® III devices, the EPCS controller does not automatically assign its output pins to the dedicated configuration pins on the FPGA. Instead, the output pins are exported to the top level design, giving users the flexibility to connect to any EPCS devices.
Therefore, in order to use the EPCS Configuration Controller the pins must be assigned manually, and the following settings must be made the Quartus® II software.
Please follow these steps:

   1. Choose Settings from the Assignments menu
   2. Click Device and Pin Options from the Device category
   3. Click Dual-Purpose Pins and then make the following changes:

Data -> Use as regular I/O
Data -> Use as regularr I/O
DCLK -> Use as regular I/O
FLASH_nCE/nCS0 -> Use as regular I/O
You must check the device Pin-Out file for the Cyclone III device which you are using to make the following pins assignments:
data0_to_the_epcs_controller -> DATA0
sdo_from the_epcs_controller -> DATA1,ASDO
dclk_from_epcs_controller -> DCLK
sce_from_the_epcs_controller -> FLASH_nCE


请问一下,怎么才能设置为regularr I/O   
我为EPCS 这问题折腾了半个月   但现在还是没出来啊   
大家帮帮忙呀   万分感谢

ldmsunnyldm 发表于 2010-6-7 13:24:58

assignment-->device>device and pin option-->dual purpose pin

ldmsunnyldm 发表于 2010-6-7 13:25:36

Problem   

Why does my Cyclone III Nios II system not boot up from an EPCS device when I program the EPCS with Flash Programmer ver.9.0?
Solution   

Nios® II embedded processor executable code is not correctly programmed on an EPCS device with version 9.0 and 9.0sp1 Nios II Flash Programmer tool chain.

Version 9.0 and 9.0sp1 contain a bug in the tool chain. To solve this problem, contact Altera® technical support via mySupport to request the Nios II EDS 1.01 patch.

This problem will be fixed by Nios II EDS version 9.0sp2 .



qutues ii9.0 有BUG,这是真的吗?我用的就是9.0的,搞不出来,

maqingbiao 发表于 2010-6-7 16:54:57

对我也搜索到了这一信息   也是不清楚是真还是假的

spiritdds 发表于 2010-6-13 14:23:06

回复【楼主位】tear086 .COM 缺氧
-----------------------------------------------------------------------
不一定是代码没有从EPCS中搬到RAM中,芯片一直复位也有可能出现这种情况,建议你检查片子有第二功能的引脚的设置,如外部清除引脚,是否使能了第二功能。

tear086 发表于 2010-6-13 14:52:25

回复【35楼】spiritdds
-----------------------------------------------------------------------

多谢提醒。

luan_dahai 发表于 2010-6-17 13:52:39

mark

thanatos003 发表于 2010-6-17 20:37:20

楼主去下载一个FLASH TO EPCS 的JAR 文件就搞定了 。老BUG了

tear086 发表于 2010-6-17 20:48:18

回复【38楼】thanatos003
-----------------------------------------------------------------------

大哥,可否贴个可用的下载地址。

wx2k 发表于 2010-6-18 09:13:04

楼主能不能把EP3C16+SDARM_64MB+EPCS16 原理图发上来,大家也好学习一下

ss_moyo 发表于 2010-7-13 14:23:18

本人也遇到这个问题了,软件用9.1的。后来将Generate compressed bitstreams去掉后直接通过AS下载就可以了。

huakaimanlin 发表于 2010-8-20 10:49:16

好吧 我也遇到了这样的问题,但是是在NIOS ii9.0 的版本下,装了SP2的补丁就解决问题了。。。

huakaimanlin 发表于 2010-8-20 10:51:45

SP2的下载地址
http://www.edacn.net/html/63/t-121563.html

relotus 发表于 2010-11-16 08:38:40

mark

jane0324 发表于 2011-3-7 21:19:05

回复【楼主位】tear086 .COM 缺氧
-----------------------------------------------------------------------

楼主的问题解决了没有啊,我现在遇到了同样的问题,ep3c55+epcs16+sdram,程序和逻辑都固化进
epcs16,复位地址指向epcs16,程序运行空间指定为sdram,调试工具用的是quartus ii /nios ii 8.0+sp1版本的。程序和逻辑固化好,掉电后重新上电,程序运行不起来,但是在线运行一遍nios ii,整个板子能正常工作。

jane0324 发表于 2011-3-7 21:21:26

回复【楼主位】tear086 .COM 缺氧
-----------------------------------------------------------------------
看时间好像问题间隔得挺久了,但还是希望楼主能回复一下,到底结果怎么弄的,谢谢了。问题解决不了,真的也快崩溃了

zeta1989 发表于 2011-4-5 11:10:48

这个问题现在有定论了没有? 本人用ep2c5t144 + epcs4,quartus II/niosII 10.0 出现了同样问题。。一筹莫展中。。。

cicnx 发表于 2011-4-5 11:38:14

我也被这个问题困扰了很长时间,最后解决了!

重点在 sdram 上。 注意检查一下字节使能那几位IO有没有接错位!!!

beginner227 发表于 2011-6-21 17:12:13

好贴,mark!

yuphone 发表于 2011-6-21 17:54:15

这个问题我已经搞定,其根本原因是AS配置没有加匹配电阻,而这一点手册上写得清清楚楚!

caizijian 发表于 2011-6-21 20:25:19

还好我用了个正版的下载器,看了下手册,做了个板子~一次就调通了~~HOHO

xuzhengan123 发表于 2011-6-23 11:51:48

回复【5楼】msdy
-----------------------------------------------------------------------

我也遇到了同样的问题,cyclone 3的FPGA,usb blaster 不能用,而且老是弹出如下信息:
http://cache.amobbs.com/bbs_upload782111/files_41/ourdev_651355IPX8QY.jpg
(原文件名:JTAG problem.jpg)

我的电路没有任何问题,这个我敢保证,现在的问题是,偶尔会连接成功,大概一两次吧,但大部分时间都连接不上,求解答。

wenhuawu 发表于 2011-12-9 13:45:58

现在用QUARTUS2 10.1SP1+CYCLONE IV+EPCS16,同样是从EPCS启动软件不运行。问题有点区别就是,我要是.text区设置到SDRAM里,无法运行,但是设置到片内RAM,就可以运行。不知道有朋友遇到这个问题没有???

BURNING.AN 发表于 2014-4-13 18:56:21

特权不是说,是因为EPCS16匹配电阻没加的问题么。

jr9910 发表于 2014-4-16 13:34:45

升级Quaturs II软件,到10.0以上
页: [1]
查看完整版本: 被Cyclone III搞得死去活来,特来求教。epcs@ciii复位无法boot