630641948 发表于 2010-2-2 08:58:51

请高人指点

请教:为什么在定义全局时钟后想要拿示波器观察时钟的频率却观察不了   
在示波器的显示屏上只出现了高电平 却没有时钟的脉冲周期信号

yingfu_758 发表于 2010-2-2 09:14:56

确定时钟源正常工作?

630641948 发表于 2010-2-2 10:38:00

回复【1楼】yingfu_758
-----------------------------------------------------------------------

怎么样确定时钟源工作   我编了一个小程序这个小程序为什么找不到全局时钟呢怎样才能找到
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity test is
port(clk:in std_logic;
   a:in std_logic;
   b:in std_logic;
   c:out std_logic;
   d:out std_logic);
   
end test;
architecture rtl of test is
begin
process(clk)
begin
if clk'event and clk='1' then
   if a=b then
          c<='1';
          else d<='1';
      end if;
      end if;
      end process;
      
end rtl;

yingfu_758 发表于 2010-2-2 13:04:31

你用的时钟源是有源晶振吗?
可以用示波器看一下输入时钟是否正确。

630641948 发表于 2010-2-2 13:36:49

回复【3楼】yingfu_758
-----------------------------------------------------------------------

有啊!!我们已经拿示波器试了
页: [1]
查看完整版本: 请高人指点