ATmega_16 发表于 2009-12-16 10:46:02

寄存器(REG型)的初值是什么?

在verilog中reg定义变量的初值是什么?
是0?
是1?
是未定义?
还是高阻?

baojie423 发表于 2009-12-16 13:22:14

0

ngzhang 发表于 2009-12-16 13:49:25

是不定态“x”。modesim仿真时会显示红色的x。在FPGA中一般会初始化到0值,在asic中则为随机值。
页: [1]
查看完整版本: 寄存器(REG型)的初值是什么?