zhoujie9220 发表于 2009-12-15 09:48:20

艾米电子FPGA入门系列实验教程

目前市场销售FPGA开发板的厂商很多,但大多只提供些简单的代码,没有详尽的文档和教程提供给初学者。经验表明,很多学生在学习FPGA设计技术的过程中,虽然刚开始学习热情很高,但真正坚持下来一直到“学会”的却寥寥无几,除了学生个人毅力的因素外,另外一个更主要的原因就是所选的开发板缺少配套的说明文档和手把手系列的入门教程。原本FPGA的学习门槛相对于单片机来说就高了不少,再加上缺少手把手系列教程资料,这就给初学者学习FPGA增添了更多的困难,很多初学者因为找不到入门的方法而渐渐失去了学习FPGA的兴趣和信心。

   作者从接著到系统学习FPGA有两年多的时间了,学习FPGA的时间不长,期间因为没有专业的老师指导,自己摸索学习FPGA走了很多的弯路。有过问题迎刃而解的快乐,也有过苦苦寻求结果和答案的痛苦历程,回想起自己学习FPGA的历程,从开始的茫然,到后来的疯狂看书,购买开发板,在开发板上练习各种FPGA实例,到最后能独立完成项目,一路走来,感受颇多。发觉学习FPGA只要选择正确的方法是有捷径可走的,有很多人学习FPGA很长时间,因为没有找到正确的方法还是停留在入门阶段。

    针对现状,作者从初学者的角度出发,结合作者学习FPGA的经验和亲身体会,遵循“宁可啰嗦一点,也不放过细节”的原则编写了详尽的实验教程作为艾米电子工作室开发套件的配套教程使用,主要面向FPGA初学者。FPGA的学习只有通过大量的操作与实践才能很好并快速的掌握。为此本实验教程从点亮LED灯写起,深入浅出,以图文并茂的方式由易到难地列举了很多实例,采用手把手、Step by Step的方式让初学者以最快的方式掌握FPGA技术的开发流程以及Quartus II软件的使用,从而激起初学者学习FPGA的兴趣。在教程中作者采用“授人以渔”的方式,努力做到不仅讲述怎样进行试验,而且分析为什么这样做,以便初学者深刻理解并快速掌握FPGA的学习方法。
    FPGA技术是不断发展变化的,要掌握FPGA技术的精髓,需要设计者在实践中不懈地摸索与积累,逐步提高自己的设计水平,本实验教程试图对初学者起到快速入门的作用。但由于作者学习FPGA时间不长,水平有限,错漏和不严谨之处在所难免,欢迎大家批评指正。



点击此处下载 ourdev_514717.rar(文件大小:2.15M) (原文件名:FPGA入门系列实验教程――实验一点亮LED.rar)
点击此处下载 ourdev_514718.rar(文件大小:427K) (原文件名:FPGA入门系列实验教程――实验二 LED闪烁灯.rar)
点击此处下载 ourdev_514719.rar(文件大小:731K) (原文件名:FPGA入门系列实验教程――实验三 LED流水灯.rar)
点击此处下载 ourdev_514720.rar(文件大小:431K) (原文件名:FPGA入门系列实验教程――实验四 LED跑马灯.rar)
点击此处下载 ourdev_514721.rar(文件大小:420K) (原文件名:FPGA入门系列实验教程――实验五 LED花样彩灯.rar)
点击此处下载 ourdev_514722.rar(文件大小:419K) (原文件名:FPGA入门系列实验教程――实验六读取按键信号.rar)
点击此处下载 ourdev_514723.rar(文件大小:409K) (原文件名:FPGA入门系列实验教程――实验七按键控制LED亮灭.rar)
点击此处下载 ourdev_514724.rar(文件大小:425K) (原文件名:FPGA入门系列实验教程――实验八按键消抖控制LED亮灭.rar)
点击此处下载 ourdev_514725.rar(文件大小:422K) (原文件名:FPGA入门系列实验教程―― 试验九 PWM输出控制LED显示试验工程.rar)
点击此处下载 ourdev_514726.rar(文件大小:423K) (原文件名:FPGA入门系列实验教程――实验十数码管静态显示.rar)
点击此处下载 ourdev_514727.rar(文件大小:432K) (原文件名:FPGA入门系列实验教程―― 实验十一数码管动态显示.rar)
点击此处下载 ourdev_514728.rar(文件大小:419K) (原文件名:FPGA入门系列实验教程――实验十二蜂鸣器发出救护车鸣笛声.rar)
点击此处下载 ourdev_514739.rar(文件大小:475K) (原文件名:FPGA入门系列实验教程――实验十三蜂鸣器发出警车鸣笛声.rar)
点击此处下载 ourdev_514740.rar(文件大小:449K) (原文件名:FPGA入门系列实验教程――实验十四硬件电子琴.rar)
点击此处下载 ourdev_514741.rar(文件大小:425K) (原文件名:FPGA入门系列实验教程――实验十五乐曲自动演奏.rar)
点击此处下载 ourdev_514742.rar(文件大小:1.45M) (原文件名:FPGA入门系列实验教程――实验十六 LCD1602显示.rar)
点击此处下载 ourdev_514743.rar(文件大小:472K) (原文件名:FPGA入门系列实验教程――实验十七 LCD1602显示PS2键盘的键值.rar)
点击此处下载 ourdev_514744.rar(文件大小:472K) (原文件名:FPGA入门系列实验教程――实验十八 VGA彩色信号显示.rar)

ggyyll8683 发表于 2009-12-15 09:50:30

谢谢分享

xiaolinzi133 发表于 2009-12-15 10:14:01

谢谢分享

5555555555 发表于 2009-12-15 10:22:35

谢谢分享

GUOHUDONG 发表于 2009-12-15 10:28:05

谢谢分享

abcdezh 发表于 2009-12-15 10:49:13

谢谢
mark

WXing 发表于 2009-12-15 10:50:46

好东西,真的费了很多心思啊,以后买板的话就买你们的。

lizhoubob 发表于 2009-12-15 10:55:00

mark

kneken 发表于 2009-12-15 19:23:26

谢谢

ldmsunnyldm 发表于 2009-12-15 20:35:54

谢谢收下了

22seu_08 发表于 2009-12-16 19:01:16

LZ太好啦,赞!!!!!!

bbandpp 发表于 2009-12-16 22:21:08

这个教程做的不错,感觉比EDN上的那些还好。帮顶一个。

CHENBINGSTER 发表于 2009-12-16 22:32:59

怎么没有对应的VHDL呀

oldxukaiming 发表于 2009-12-16 22:38:28

记号先

tiky 发表于 2009-12-18 00:21:19

cool

32446975 发表于 2009-12-18 11:13:15

记号。

PowerOS 发表于 2009-12-18 11:33:43

LZ,给个你网站的注册邀请码啊

yuhang 发表于 2009-12-20 12:42:28

记号

ksniper 发表于 2009-12-20 12:59:11

mark

footprint 发表于 2009-12-20 13:06:33

不错啊

lhhsea2004 发表于 2009-12-20 13:07:59

mark

niannianbushe 发表于 2009-12-20 13:34:58

mark

zl0801 发表于 2009-12-20 16:21:10

LZ,给个你网站的注册邀请码啊

不用邀请码 直接提交就行

wolfdong7 发表于 2009-12-20 20:16:16

这个网站真的不错。大家可以去看看。

haoyangwoaini 发表于 2009-12-22 13:35:52

不错不错 艾米电子工作室

ArcticRat 发表于 2009-12-22 15:47:56

mark

cnzhy88 发表于 2009-12-24 08:46:25

顶一个。。

dongzhiqing 发表于 2009-12-28 09:55:04

mark

zhangzheyuanxp 发表于 2009-12-28 21:34:32

谢谢分享FPGA入门系列实验教程

cuit 发表于 2010-1-5 15:12:44

mark

qzhang1112 发表于 2010-1-7 21:06:26

顶楼主,顶艾米电子工作室~

starsky0932 发表于 2010-1-8 17:19:58

回复【楼主位】zhoujie9220
-----------------------------------------------------------------------

不错,学习学习

yhb20060602 发表于 2010-1-9 10:51:24

支持谢谢!!!!!!!!!!!!!!!!!!

avic 发表于 2010-1-10 20:44:41

mark

foxsports 发表于 2010-1-11 12:37:08

mark

v_8787 发表于 2010-4-27 17:41:51

thank you very much

kunpeng032 发表于 2010-5-3 20:13:23

记号。

tiancaigao7 发表于 2010-5-3 21:18:03

狂顶楼主,关键就是这个原因才导致很多人放弃了FPGA

lilolog 发表于 2010-5-3 21:37:12

马克三科游

tear086 发表于 2010-5-3 21:49:00

楼主位贴的是2009配套例程。

下面我贴的是艾米电子2010配套例程(以EP2C8作为范例):
注:2010的例程是我负责编写的,有任何问题,可以随时联系我。我可以用QQ远程协助。QQ:yuphone@qq.com。

点击此处下载 ourdev_551304.zip(文件大小:2.04M) (原文件名:FPGA.zip)
点击此处下载 ourdev_551305.zip(文件大小:8.49M) (原文件名:Nios.zip)

1. turn_on_led       
        实验现象:点亮LED、LED、LED、LED。
        注:开发板上LED在左,LED在右;LED 送1灭,送0亮
       
2. sw_led
        实验现象:拨动开关控制LED
        注:开发板上LED在左,LED在右;送1灭,送0亮。
        拨动开关On-0,Off-1。

3. rider_led
        实验现象:左右循环跑马

4. water_led
        实验现象:向右流水灯亮,向左流水灯灭。

5. key_led_without_debounce
        实验现象:轻触开关控制LED亮灭,无按键去抖

6. key_led_with_debounce
        实验现象:轻触开关控制LED亮灭,有按键去抖

7. seg7x8_dynamic_disp
        实验现象:七段数码管动态显示       
                -------------------------
                |1 |2.|3 |4 ||B |C |D |
                -------------------------

8. matrixKeyboard_seg7
        实验现象:测试矩阵键盘,七段数码管显示
                   SEG7显示矩阵键盘值;SEG显示0,与之对比

9. beep_test
        实验现象:滴滴声,测试蜂鸣器

10. beep_matrixKeyboard
        实验现象:简易不同频率发声器
        使用矩阵键盘的KEY_1~KEY_E控制蜂鸣器发出中音do到高音xi,KEY_0和KEY_F取消发音。

11. lcd1602_test
        实验现象:测试LCD1602显示
        第一行:A Lcd Disp Test
                第二行:Amy-studio Pub
       
12. lcd1602_clock       
        实验现象:简易时钟,LCD1602显示
        第一行:A Clock 00:00:00
                第二行:Amy-studio Pub

13. vga_color_slip       
        实验现象:使用SW来调节显示横条还是竖条。
        注:提供两种分辨率:640x480和800x600。
       
14. vga_char
        实验现象:VGA显示汉字:艾米。

15. uart_tx_test
        实验现象:串口发送测试。使用SW使能串口发送,发送测试字符H。
        注:波特率:9600;数据位:8;奇偶位:无;停止位:2。
       
16. uart_rx_test
        实验现象:在LCD1602上显示UART接收到的数据。
        第一行:UART rcved is □
        第二行:Amy-studio Pub
         注:波特率:9600;数据位:8;奇偶位:无;停止位:1。

17. ps2_keyboard_test
        实验现象:PS2键盘测试,LCD1602显示
        第一行:PS2 rcved is □   
        第二行:Amy-studio Pub

18. ds18b20_seg7
        实验现象:在数码管显示温度
             -------------------------
             ||||0 ||2 |2.|3 |
             -------------------------
        注:从左往右,第四个seg7显示的是正负位——0正1负;第六、七、八位显示的温度值。

wjhltk 发表于 2010-6-23 11:55:49

mark

halobear 发表于 2010-6-23 13:36:21

这个必须马克

lsea 发表于 2010-6-27 23:48:25

看过程序没有io分配。

oceanx 发表于 2010-6-28 10:25:52

谢谢分享!!!

ncuhmily 发表于 2010-6-29 10:07:51

多谢

le2201 发表于 2010-7-1 16:33:09

mark

wanwzy 发表于 2010-7-6 11:26:12

顶楼主!顶缺氧!顶艾米!顺便mark,呵呵!

wxr000 发表于 2010-7-10 21:25:52

不错不错,谢谢了。

justsun 发表于 2010-7-11 11:02:03

mark

oldmen 发表于 2010-7-11 15:42:46

谢谢

fy024 发表于 2010-7-11 16:59:45

mark

Ryan 发表于 2010-7-15 08:46:03

罕见的好贴,谢谢了!

AilesArgentees 发表于 2010-7-19 11:22:53

Mark......

zyxunzhao 发表于 2010-8-22 15:29:41

好东西~~~~~

sujiebin 发表于 2010-8-22 20:26:44

回复【39楼】tear086.COM 缺氧
-----------------------------------------------------------------------

顶张亚峰哥哥!!!

zhou_1989 发表于 2010-8-22 20:31:01

mark

xiepengchenghn 发表于 2010-8-27 21:38:22

mark

lanseiboy 发表于 2010-8-31 16:53:47

顶楼主,顶缺氧!谢谢!

wuyuewenhao 发表于 2010-8-31 18:48:11

dingdinedingding

lkwslk1 发表于 2010-9-1 17:28:35

想看CPLD的。。。

guxingganyue 发表于 2010-11-11 16:50:59

感谢艾米电子

cjqjjq 发表于 2010-11-11 17:13:25

先记下来

HYLG 发表于 2010-11-11 19:15:21

先下再说,有空再学.谢谢,啊.

liudeen 发表于 2010-11-11 19:35:15

什么时候有些算法的例程参考呢?

cuikai12345 发表于 2010-11-11 19:45:20

mark

qintuyuan 发表于 2010-12-27 21:43:22

mark一个,慢慢学习

Adrian 发表于 2010-12-28 10:10:19

我还买过一块开发板呢,CPLD EPM1270T144C5N

morion 发表于 2010-12-28 11:21:15

手上有块板 不过估计得看引脚配置慢慢学

by886 发表于 2011-1-1 15:43:54

谢谢分享

fbp117 发表于 2011-1-1 17:06:39

先标记,准备过几天买个板

g22261846 发表于 2011-1-2 09:47:47

回复【楼主位】zhoujie9220
   目前市场销售fpga开发板的厂商很多,但大多只提供些简单的代码,没有详尽的文档和教程提供给初学者。经验表明,很多学生在学习fpga设计技术的过程中,虽然刚开始学习热情很高,但真正坚持下来一直到“学会”的却寥寥无几,除了学生个人毅力的因素外,另外一个更主要的原因就是所选的开发板缺少配套的说明文档和手把手系列的入门教程。原本fpga的学习门槛相对于单片机来说就高了不少,再加上缺少手把手系列教程资料,这就给初学者学习fpga增添了更多的困难,很多初学者因为找不到入门的方法而渐渐失去了学习fpga的兴趣和信心。
   作者从接著到系统学习fpga有两年多的时间了,学习fpga的时间不长,期间因为没有专业的老师指导,自己摸索学习fpga走了很多的弯路。有过问题迎刃而解的快乐,也有过苦苦寻求结果和答案的痛苦历程,回想起自己学习fpga的历程,从开始的茫然,到后来的疯狂看书,购买开发板,在开......
-----------------------------------------------------------------------
要是做成郭天祥的那种模式就好了,他带动了很多人入门,买开发板的人一般都是需要入门才买的。关键是做好了视频后人家拿去模仿去了。

hbchf 发表于 2011-1-7 14:32:46

mark

woshi728138 发表于 2011-1-7 15:01:12

mark

zhuyitou 发表于 2011-1-8 14:39:47

回复【楼主位】zhoujie9220
-----------------------------------------------------------------------

good

kiss 发表于 2011-1-18 16:02:13

回复【楼主位】zhoujie9220
-----------------------------------------------------------------------

mark

ckaz001 发表于 2011-1-18 21:57:28

谢谢~

qingyin2009 发表于 2012-2-12 23:12:25

不知道哪位有最新版的光盘映像

380999494 发表于 2012-2-13 10:31:45

mark

mcu_mouse 发表于 2012-2-13 10:32:21

mark

zhoujie9220 发表于 2012-2-13 16:20:40

回复【楼主位】zhoujie9220
-----------------------------------------------------------------------

艾米电子成立于2006年,于2008年正式更名为艾米电子工作室,艾米电子FPGA系列开发板自面市以来,一直得到网友的喜爱和支持,EP2C8Q208系列开发板09年热销1000多套,其中获得几所高校的批量订单,主要用于实验室教学设备和电子竞赛,2010年艾米电子延续了09年的良好业绩,这说明艾米电子FPGA开发板的品质和服务得到了市场的认可和肯定,这里对一直以来支持艾米电子的网友表示感谢!由于2010年团队成员毕业工作以及店长读博,团队成员精力有限,2011年工作室没有继续推出新的产品。2012年团队成员强势回归,并有多个多年FPGA工作经验的新成员加入,艾米电子工作室将会根据市场需求推出新的FPGA产品,继续和大家分享FPGA设计经验以及项目实战中的心得和体会,将会为新老客户提供一如既往的技术支持。
   北京至芯开源科技有限责任公司(SuperCoreLink Micro Technology Co., Ltd.)是一家专注于中国高新技术培训和传播的高科技服务机构,致力于FPGA,DSP,低功耗等电子类前沿技术的设计研究,应用和推广。公司拥有一支以夏宇闻教授为核心的在FPGA相关领域有着丰富开发经验和管理经验的专家团队,拥有诸多在xilinx,Altera,清华,北大,中科院等知名机构任职的专家顾问团队,服务于包括世界500强在内的知名公司及国内各大军工集团所属研究所、高等院校。
   2012年艾米电子工作室和北京至芯FPGA培训机构建立战略合作伙伴关系,共同推出FPGA助学活动,艾米电子提供价格低廉的FPGA硬件开发平台,至芯科技提供技术支持平台,共同向FPGA爱好者提供技术支持和分享FPGA设计经验,欢迎广大FPGA爱好者加入到这次活动中来。

jzczzcy 发表于 2012-2-14 22:34:01

mark

lov9210 发表于 2012-2-15 10:35:52

回复【70楼】g22261846
-----------------------------------------------------------------------

对啊!不瞒您说,我已经迷茫了将近半年了,到现在还没有理出头头道道来!焦急啊!都怀疑自己的学习方法是不是对了!

boxboxbox 发表于 2012-9-4 10:13:11

mark。。。

hailiyayaya 发表于 2013-4-17 22:01:55

good!!!good!!!

HBBMAKEIT 发表于 2013-4-18 13:58:31

谢谢分享,收下啦!!!

裸奔的流浪者 发表于 2013-7-15 01:09:40

是好东西,感谢各位大神们的无私奉献精神
页: [1]
查看完整版本: 艾米电子FPGA入门系列实验教程