zchong 发表于 2009-12-2 10:34:44

verilog语法问题

下面这段代码中begin : RX_STATE_ASSIGN是什么意思呢?

always @(posedge clk or negedge rst_n)
begin : RX_STATE_ASSIGN
    if (~rst_n) begin
      rStatRxCur<= RX_IDLE;                                 //设置寄存器的初始值(复位值)
    end
    else begin
      rStatRxCur<= rStatRxNext;                           //更新状态值
    end
end

WXing 发表于 2009-12-2 12:34:17

给begin end语句块起的块名。

ngzhang 发表于 2009-12-2 16:35:46

就是个名字。

zchong 发表于 2009-12-2 16:49:02

谢谢楼上两位!
页: [1]
查看完整版本: verilog语法问题