zhaojun_xf 发表于 2009-12-1 10:17:52

大家推荐一款CPLD或FPGA的开发板

如题,希望大家推荐一款CPLD或FPGA的开发板。不知道学习CPLD好还是FPGA?希望购买一款价格便宜(200左右),资料齐全的开发板。谢谢

zhaojun_xf 发表于 2009-12-1 10:19:20

最好再推荐一般verilog方面的书籍。

longquan 发表于 2009-12-1 12:31:29

EP2C8Q 的性价比不错

FPGA没有想象的那么好,很难

lookup 发表于 2009-12-1 14:42:12

超低价USB2.0 CY7C68013A MAXII EPM1270 CPLD开发板第二版
挺不错的!也便宜!资料太全了!
淘宝地址
http://item.taobao.com/auction/item_detail-db2-60b59ac0fc3f64ce93b88d4c1d26aed2.htm
http://cache.amobbs.com/bbs_upload782111/files_22/ourdev_509477.jpg
(原文件名:1.jpg)

使用说明非常详细,再也找不到比这个电路板使用说明更详细的开发板了!

您甚至可以利用这块板子当作8通道逻辑分析仪,每个通道最高采样率可以达到24MHZ,完全可以满足低速单片机系统逻辑时序分析的要求。

我们提供的程序绝对是物超所值。

简介:板载大容量ALTERA MAXII 系列CPLD芯片EPM1270、ISSI61LV2516256K*16bit 的SRAM存储器和USB2.0 高速CY7C68013A芯片,构成完美的逻辑和数据传输系统。

CPLD的所以管脚全部引出,而且在板子丝印层上全部标出管脚的编号,方便实际使用。

68013芯片外部扩展了大容量的24LC64 EEPROM存储芯片,足够CY7C68013A用于存储程序。

下图是正面图片,板子正面上有EPM1270,ISSI61LV25616,CY7C68013A,24LC64

两个LED,两个按键,5V转3.3V电源芯片,CPLD的IO扩展排针。

板子可外部输入5V电源也可以由USB供电。

zhaojun_xf 发表于 2009-12-1 14:50:10

这个CPLD能下载多少次啊?

lookup 发表于 2009-12-1 15:17:44

可以用万计数哦
页: [1]
查看完整版本: 大家推荐一款CPLD或FPGA的开发板