zl0801 发表于 2009-9-16 10:33:26

即日起FPGA系列教程-希望对FPGA初学者能够提供帮助 公布光盘开发资料

本人借ourdev的论坛,宣传FPGA技术,希望对初学者提供帮助

谢谢阿莫的论坛 确实给广大网友提供了很好的帮助

本教程从一点不会学起,不能保证达到精通的目的,入门肯定是没问题了

**********************************************************************************************************

http://cache.amobbs.com/bbs_upload782111/files_18/ourdev_481379.jpg
(原文件名:EP2C8Q208开发板.jpg)


公布资料如下:

点击此处下载 ourdev_481380.pdf(文件大小:101K) (原文件名:EP2C5-EP2C8-NIOS原理图.pdf)
点击此处下载 ourdev_481381.pdf(文件大小:49K) (原文件名:接口板.pdf)
点击此处下载 ourdev_481382.txt(文件大小:8K) (原文件名:新接口板_EP2C5-8Q208.txt)
点击此处下载 ourdev_481383.pdf(文件大小:2.82M) (原文件名:NIOS开发板使用手册.pdf)
点击此处下载 ourdev_481384.pdf(文件大小:157K) (原文件名:程序说明.pdf)
点击此处下载 ourdev_481385.pdf(文件大小:848K) (原文件名:逻辑部分实验操作指南.pdf)
点击此处下载 ourdev_481386.rar(文件大小:3.78M) (原文件名:EP2C8程序.rar)



同时,本人亦有论坛,不过人气还不够,为了更好的将我们的资料提供给网友,特来这里宣传

欢迎朋友们做客艾米电子

http://www.amy-studio.com/bbs

http://cache.amobbs.com/bbs_upload782111/files_18/ourdev_481387.jpg
(原文件名:销售单.jpg)

本套教程 已 艾米电子 FPGA / NIOS开发板为模板编写

有意者可以联系购买 淘宝销售:http://shop33537127.taobao.com/

roasn 发表于 2009-9-16 10:46:46

cool!

armok 发表于 2009-9-16 10:55:54

Cool !

注: 获得COOL资格的帖子,允许在帖子内做销售广告。

希望楼主将更多的有用资料上传到这里。谢谢。

zl0801 发表于 2009-9-16 11:00:00

一直以来对 ouravr(习惯这么叫)都非常的亲切

我会把资料全部开放

为了更多的人学习FPGA技术提供一点帮助

:)

armok 发表于 2009-9-16 11:03:30

FPGA是很好的东东。我们的雕刻机的开源活动,也将使用这个芯片做些项目。 期待楼主将这里的FPGA人气带动起来。

powerSTM 发表于 2009-9-16 11:04:24

资料+广告

roasn 发表于 2009-9-16 11:13:05

【4楼】 armok 阿莫
FPGA是很好的东东。我们的雕刻机的开源活动,也将使用这个芯片做些项目。 期待楼主将这里的FPGA人气带动起来。
-------------------------------------
大凡高速的东西,基本上都脱离不了FPGA,例如通信行业

armok 发表于 2009-9-16 11:16:52

roasn 你就帮忙担任 CPLD/FPGA 版主吧 :) 已经设置好了。

roasn 发表于 2009-9-16 11:20:15

【7楼】 armok 阿莫
-----------------------
承蒙厚爱,哈哈

ny2000214 发表于 2009-9-16 12:01:57

mark

romanticye 发表于 2009-9-16 22:53:18

强悍!!

abs123 发表于 2009-9-16 23:03:52

向LZ学习

ggg12345 发表于 2009-9-16 23:51:57

mark

shunzi6 发表于 2009-9-16 23:57:35

谢谢 楼主蹭学中国

ozo 发表于 2009-9-17 09:55:21

谢谢。

cinderellah 发表于 2009-9-17 10:37:47

mark~

duqinglin 发表于 2009-9-17 12:01:32

标记 ,

wangyi1e 发表于 2009-9-17 13:29:24

标记!

wdong 发表于 2009-9-22 10:08:05

mark..

knimble 发表于 2009-9-23 08:18:05

mark 好东西

powerg7 发表于 2009-9-23 08:29:42

mark

sunny1500 发表于 2009-9-23 08:53:04

./emotion/em006.gif

laoxizi 发表于 2009-9-23 09:45:29

请问楼主做过视频缩放和去噪方面的算法吗?想请教点问题,多谢~~

weixintec 发表于 2009-9-25 17:12:14

good

steel 发表于 2009-9-25 19:36:51

zl0801 赵亮的淘宝店还不错啊,都是FPGA的东西,不过我现在学ARM了,学FPGA的话肯定向zl0801 赵亮请教

highkick 发表于 2009-9-25 21:31:57

m

ndust 发表于 2009-9-25 22:08:36

jh

zl0801 发表于 2009-9-25 22:49:06

谢谢各位的支持,近期将公布一些视频开发指导资料

ylei12 发表于 2009-9-26 11:21:36

不错,曾经自学过一段时间,没坚持下去

yxm433 发表于 2009-9-28 17:52:33

mark

yuex1n 发表于 2009-9-28 21:15:52

mark我也买了楼主的ep2c8的板子

yuhang 发表于 2009-9-30 20:17:30

mark

-Joseph- 发表于 2009-10-1 01:01:51

想请教一下楼主,学习FPGA到底是Verilog还是VHDL更加容易入门或更加容易深入呢,多谢.....

cyberjok 发表于 2009-10-1 06:25:52

我买过一套,做工不怎么样... 资料准备的也不怎么样!建议大家还不如买一套站内的开源逻辑分析仪学习一下!

master0722 发表于 2009-10-2 12:25:51

楼上的,同感

xinqiji 发表于 2009-10-2 16:58:41

既然楼主都在这里打广告了,我就作为消费者实事求是的说说楼主的产品吧。09电赛培训时候学校发的EP2C8的板子,板子做工非常不错,PLL也有专门的供电系统;控制信号引脚都有上拉电阻;104电容一个不缺,其他很多较好的细节不细数了。不过也有要加强的地方:光盘上的例子全是Verilog的,希望以后的版本能添加VHDL的例子,而且例子明显偏少(这点要和周立功学学),当然最好能有FFT的例子(不要是照搬IP核的垃圾,要能通过它理解到FFT的本质)。另外文本所带的引脚配置文档好像与实际有点出入,SDRAM和DDS的默认例子引脚好像不对,第三四数码管移到了7、8位。还有核心板插针的间距最好是100mil的整数,因为没有油标尺我直接用万能板比对的,使用的是2200mil、670mil最短针距结果做出来的板还是差了0.3mm。最后板上JTAG或USB Blaster的其中一个最好旋转108°,放桌上要么看不到指示灯要么10P线是扭曲的。
细节如果处理的更好的话这块板子将会更超值的。

3g465 发表于 2009-10-2 18:40:55

mark

hejiangzai 发表于 2009-10-7 21:16:09

mark

zl0801 发表于 2009-10-8 15:20:34

【35楼】 xinqiji 阿迪

谢谢这位朋友中肯的评价

你的意见我会考虑的,也注意到了这些细节问题,会在日后的产品升级中修改这些问题

capron 发表于 2009-10-8 15:45:51

顶一下,谢谢~

xinjie1023 发表于 2009-10-9 22:16:36

顶LZ吧

zl0801 发表于 2009-10-9 22:37:58

视频资料 在这里

http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=3624335&bbs_id=1029

liitom 发表于 2009-10-15 16:54:52

记号

sabergg 发表于 2009-10-15 21:15:17

记下,最近在学FPGA。。。。。感谢楼主 。。

zhangzi4933 发表于 2009-11-3 07:58:39

谢谢楼主!生的伟大,死的光荣!

newbier 发表于 2009-11-3 08:11:56

呵呵,推广开发板

wk52621773 发表于 2009-11-3 19:22:59

顶!!!

tear086 发表于 2009-11-12 15:48:08

顶亮哥的帖子。

up2dream 发表于 2010-2-3 14:42:33

MARK

liitom 发表于 2010-3-9 16:41:35

MARK

STUDY123 发表于 2010-3-10 22:51:11

讲得不错的,还有视频课程吗

fxk1220 发表于 2010-3-11 13:40:33

回复【楼主位】zl0801 赵亮
---------------------------------------------------------------
感谢亮哥,谢了;

bodyopq 发表于 2010-3-11 19:04:20

学习 学习 再学习

rfour 发表于 2010-3-11 19:27:54

标记,thank楼主,学习学习

autostar 发表于 2010-3-12 18:10:33

mark

liudeen 发表于 2010-3-13 10:40:47

一直关注

ljt8015 发表于 2010-3-20 09:59:46

mark

sangreal 发表于 2010-4-21 17:43:04

牛哥,顶下

guke 发表于 2010-4-21 17:53:22

mark

zq_comeon 发表于 2010-5-13 18:35:18

顶一下...

icanfly 发表于 2010-5-14 11:13:33

最近正在做FPGA

avrwoo 发表于 2010-5-14 12:09:01

mark

zhdbao88 发表于 2010-5-17 13:09:32

想做FPGA。。向LZ学习。。

mcu_zone 发表于 2010-5-18 20:05:25

学习

span42 发表于 2010-5-18 23:35:37

mark

zqd311107 发表于 2010-5-19 10:17:36

mark

luy3728000 发表于 2010-5-24 00:31:03

感谢楼主,我正需要

lyhlt520 发表于 2010-5-24 01:25:20

一直支持艾米,希望做的更好~

myhonour 发表于 2010-5-24 13:45:09

mark

kerry1981 发表于 2010-5-25 09:17:42

mark,顶一下

xiangxinaiqing 发表于 2010-5-30 11:44:16

mark

luan_dahai 发表于 2010-6-16 13:25:09

mark

olina_v 发表于 2010-6-27 23:14:23

支持

wxx116zh 发表于 2010-6-28 08:35:43

mark

kofkyok 发表于 2010-7-3 10:31:48

nios开发板!

guke 发表于 2010-7-4 14:37:13

不错,学习了

wanwzy 发表于 2010-7-6 11:12:56

mark

giftxuexi 发表于 2010-7-6 21:05:55

不错,学习啦

flyingcys 发表于 2010-7-10 20:24:11

谢谢

dasemo2008 发表于 2010-8-18 15:27:15

mark!

qi_anxiangqian 发表于 2010-8-18 16:38:44

太好了 希望楼主可以多发些资料 谢过

nm624233125 发表于 2010-8-20 11:23:17

lf

fenghe123 发表于 2010-8-21 01:48:26

顶,支持一下!

heibaogame 发表于 2010-8-26 18:41:47

学习一下

sujiebin 发表于 2010-8-26 19:30:40

回复【32楼】-Joseph-
-----------------------------------------------------------------------

Verilog相对容易上手一点,和C的风格很像,但不可以当成C来学,一个硬件,一个软件,切记切记!

nwpuwyl 发表于 2010-9-1 21:50:58

顶一个!

qsmq46 发表于 2010-9-7 11:36:12

mark

xtaens 发表于 2010-10-7 19:14:40

谢谢

1049667 发表于 2010-10-7 19:34:14

mark

zgxbcf 发表于 2010-10-10 16:19:36

zgx
mark

GNMXD 发表于 2010-10-15 16:37:46

fpga mark

popoochen 发表于 2010-12-1 21:07:42

mark

ycwjl728 发表于 2010-12-21 10:17:21

Mark!

hzm2008 发表于 2010-12-21 10:23:49

这个东西有前途吗

lgcHR 发表于 2010-12-23 21:19:40

又是艾米电子?坛子里潜藏了很多艾米的人,不过这些人都是牛人啊,向牛人致敬!

morion 发表于 2010-12-23 21:46:04

不错 mark了

up101 发表于 2010-12-23 21:59:26

嘿嘿,不错哦。

kim5257 发表于 2010-12-23 22:19:33

标记

kneken 发表于 2010-12-23 22:32:46

mark

yanghengxu 发表于 2010-12-24 22:00:14

MARK
页: [1] 2
查看完整版本: 即日起FPGA系列教程-希望对FPGA初学者能够提供帮助 公布光盘开发资料