yalasuo 发表于 2009-4-15 17:08:50

求助:为什么我自定义的SRAM不能够设定为Exception Vector

为什么我自定义的SRAM不能够设定为Exception Vector?如果加入NIOS自带的SRAM就可以呢?我用的是NIOS7.2版本,我已经加入了address,data,read,write,oe,byteenable等信号。我已经苦恼了快一个月了,知道的说一声吧,不胜感激!

yalasuo 发表于 2009-4-16 17:27:54

问题已解决。详情请看http://edacn.net/html/15/t-106615.html
页: [1]
查看完整版本: 求助:为什么我自定义的SRAM不能够设定为Exception Vector