microcreat 发表于 2009-3-11 10:04:38

ise 使用过程中遇到的问题!

听说ISE的BUG比较多,我也是刚开始用ise,我想把我用的过程中遇到的问题一一列举出来,希望得到大家的指点,也给想学CPLD的人一个使用的资料!

microcreat 发表于 2009-3-11 10:09:16

开发环境:ise 10. 1
CPLD :xc95144xl-100
我今天写了个串口的程序,就是将CPU的串口连接了CPLD的2根IO口,然后通过CPLD,在另外2个IO输出。
我上程序 :

xx.ucf文件
#PINLOCK_BEGIN

NET"UART0_RXD"LOC = "P18";
NET"UART0_TXD"LOC = "P16";
NET"CPU_TXD1"   LOC = "P24";
NET"CPU_RXD1"   LOC = "P25";

#PINLOCK_END

xx.vhd文件
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity UART is
------------------------------------------
port (
                CPU_TXD1 : in STD_LOGIC;
                CPU_RXD1 : out STD_LOGIC;
                UART0_RXD : in STD_LOGIC;
                UART0_TXD : out STD_LOGIC
                );
------------------------------------------
end UART;

architecture Behavioral of UART is

begin

      UART0_TXD <= CPU_TXD1;       
      CPU_RXD1 <= UART0_RXD;

end Behavioral;

我编译后出现这样的警告:
WARNING:Cpld:997 - Error during loading TIMESPEC AUTO_TS_P2F =
   MAXDELAY:FROM:PADS(*):TO:FFS(*):0.000 nS, the timespec parser failed to find
   any instance/net with an expected TNM defined in TIMEGRP FFS(*). The timing
   constraint will be ignored.

不知道这个警告是什么意思,怎样消除这个警告呢?

microcreat 发表于 2009-3-11 10:13:11

在编译的过程中,会出现下面的提示,
http://cache.amobbs.com/bbs_upload782111/files_13/ourdev_424895.jpg
(原文件名:未命名.jpg)

我通过多次这样的操作可以解决这个问题,我也不知道产生这个问题的原因》

project ==> cleanup project files

microcreat 发表于 2009-3-13 09:19:49

上面的问题出现的频率大,我不知道是不是版本的问题还是什么问题!
现在我用上面的方法也解决不了这个问题,
我当进行上面的操作的时候,提示信息是这样的:
WARNING:ProjectMgmt:133 - Could not remove <E:/HLD-908A V1.01/soft of cpld/HLD908A09031301/UART.ngc> - cleanup failed.
WARNING:ProjectMgmt:133 - Could not remove <E:/HLD-908A V1.01/soft of cpld/HLD908A09031301/UART.ngr> - cleanup failed.
WARNING:ProjectMgmt:133 - Could not remove <E:/HLD-908A V1.01/soft of cpld/HLD908A09031301/UART.cmd_log> - cleanup failed.
不知道有什么可以解决的办法!现在只要是把以前的工程COPY过来还是打开以前的工程。编译几次,总会出现这个问题,现在很郁闷,不知道怎么解决,希望遇到这种问题的朋友能告诉我解决办法!

microcreat 发表于 2009-3-13 10:20:46

WARNING:Cpld:997 - Error during loading TIMESPEC AUTO_TS_F2F =
WARNING:Cpld:310 - Cannot apply TIMESPEC AUTO_TS_P2P =
WARNING:Cpld:997 - Error during loading TIMESPEC AUTO_TS_P2F =
WARNING:Cpld:310 - Cannot apply TIMESPEC AUTO_TS_F2P =
现在提示警告是这个,不知道怎么解决?
页: [1]
查看完整版本: ise 使用过程中遇到的问题!