qirui007 发表于 2009-8-17 21:53:55

强,学习了。

wangqi509 发表于 2009-8-17 22:16:34

cool!!!

gps422129 发表于 2009-8-26 13:18:13

牛哥。你好我是业余爱好者,喜欢你的这个作品想DIYU一个,但AVR单片机我不知道怎么编译,能否提供一份HEX文件?谢谢

gps422129 发表于 2009-8-26 13:20:51

我的邮箱gps422129@sina.com。QQ717856812

ywl0409 发表于 2009-8-26 19:18:20

点击此处下载 ourdev_474917.rar(文件大小:4K) (原文件名:DSO-KS0108-3.rar)

dearterry30 发表于 2009-8-29 15:25:49

不错!!顶一顶!!

gps422129 发表于 2009-8-30 14:58:14

那个U6是不是20M的晶体?

ywl0409 发表于 2009-8-30 17:57:07

U6,有源晶振.

gps422129 发表于 2009-9-2 12:27:19

那个12864屏有D/I脚吗,就是M8的28脚接的那个脚。R28阻值多少,连接LM324的几个电位器阻值多少?

ywl0409 发表于 2009-9-2 19:30:26

R28=510K
那几个电位器可以选1K,10K,510等等,别太小就可以了.
请问LS的,你的LCD是什么型号的?

ds444 发表于 2009-9-3 23:25:21

为什么不用好点的屏

gps422129 发表于 2009-9-4 11:29:19

lz我是参照天比在M16多用示波表上发的YM12864的接线图,另外protues库里的也是这样

ywl0409 发表于 2009-9-4 18:04:55

KS0108的LCD是最适合这类东东的.

gps422129 发表于 2009-9-5 11:11:47

关键是没看到D/I脚,这是我画的protues仿真图不能显示显示屏上KS0108的。M8示波器仿真图ourdev_478109.rar(文件大小:21K) (原文件名:m8示波器.rar)

ywl0409 发表于 2009-9-5 11:25:54

点击此处下载 ourdev_478117.pdf(文件大小:262K) (原文件名:hf12864b1.pdf)

这是我的这块屏的资料,供参考.

deiwgah 发表于 2009-9-5 14:09:40

下资料,做!

racemaker 发表于 2009-9-5 15:23:46

看看设计方案!

gps422129 发表于 2009-9-8 09:38:41

LS请问一下这个作品可否测试电视机的行频和场频

gps422129 发表于 2009-9-9 13:25:35

LZ电路图上324接有4个电位器,实验板上只看到3个电位器。图上有8个按钮开关,板上有10只开关???

ywl0409 发表于 2009-9-9 18:42:57

实验板上应该是5个电位器.有一个是调节LCD亮度的,电路图中省略掉了.不过只要参照LCD的手册,就可以很容易连上去了.

图上有8个按钮开关,板上应该是9个,有一个是电源开关,也在电路图中省略了.怎么接,就不需要我讲了吧?
电源部分还有一个指示灯,你看见了没有?电源接通以后这个灯会亮的,而且是绿色的,有趣吧?

hithyy 发表于 2009-9-19 13:39:35

感谢楼主的奉献

hithyy 发表于 2009-9-20 20:53:03

TCL082这个芯片淘宝上没有啊,楼主提示下哪里可以搞到,价格如何

ywl0409 发表于 2009-9-21 19:13:05

TLC082应该是有的,你可以去TI的网站上申请样片的.

hithyy 发表于 2009-9-21 22:51:42

用TL082来代替TLC082可以么?

blue.fox 发表于 2009-9-21 22:58:18

不错支持LZ

gdrc 发表于 2009-9-22 13:01:52

哈哈,可以继续做精细,用128X64做得最精致的当数李军.

ywl0409 发表于 2009-9-22 18:53:52

【126楼】 hithyy
积分:103
派别:
等级:------
来自:南京
用TL082来代替TLC082可以么?

-----------------------------------------------
带宽会窄些.

vipeinstein 发表于 2009-9-23 10:06:23

120楼的“LS请问一下这个作品可否测试电视机的行频和场频”
恐怕这个只能测场频了

RScs 发表于 2009-9-23 16:35:30

下资料,做!

hithyy 发表于 2009-10-6 15:20:26

看了下老黄牛的思路,学着搞了一下,么有使用前置模拟电路,直接用信号发生器给信号,用M8的AD采集,再用12864液晶显示波形。
测量的没有超频,使用8M晶振,待测频率在100Hz到3kHz范围吧。
http://cache.amobbs.com/bbs_upload782111/files_19/ourdev_488691.JPG
三角波 (原文件名:三角波12864.JPG)

http://cache.amobbs.com/bbs_upload782111/files_19/ourdev_488692.JPG
正弦波 (原文件名:正弦波12864.JPG)

ywl0409 发表于 2009-10-6 19:57:20

看见了LS的手和相机.呵呵.

hithyy 发表于 2009-10-12 12:30:07

呵呵,反光了

ywl0409 发表于 2009-10-14 20:16:03

LS,有没有兴趣制作一个简单的电流探头,交直流的?

chenfuen 发表于 2009-10-17 20:08:40

收藏了,谢谢

phone 发表于 2009-10-19 19:50:37

我也弄个来玩玩。

edwardslb 发表于 2009-10-21 22:01:38

虽然我看到这个帖子比较晚,但是好像感觉又有东西可以玩了

lordyeye 发表于 2009-10-27 14:15:35

Cool!

hithyy 发表于 2009-11-12 13:32:05

交流探头是什么概念呢?

ywl0409 发表于 2009-11-13 20:16:43

简单的电流探头

Dream_catcher 发表于 2009-11-14 12:06:02

收藏!

rojie 发表于 2009-11-14 20:49:54

好,顶

rojie 发表于 2009-11-14 20:52:59

我的128*64,M88有用了。

12fen 发表于 2009-11-14 22:06:02

不错

andywyt 发表于 2009-11-23 01:45:06

太感谢楼主了~~~~~~~~~~`

kclc 发表于 2009-11-23 22:29:23

这个正好有m16 可以试试

hkap 发表于 2009-11-24 13:36:04

业余做来玩玩还是不错的

wjy6264 发表于 2009-11-26 13:07:33

谢谢楼主。

12fen 发表于 2009-11-26 18:58:47

不错,效果还是很好的。最近玩一下

andywyt 发表于 2009-11-26 21:57:02

牛哥,小弟模电非常差~~


082前面 D1 D2是4148吗?~

ywl0409 发表于 2009-11-27 22:06:50

【151楼】 andywyt
积分:113
派别:
等级:------
来自:
牛哥,小弟模电非常差~~


082前面 D1 D2是4148吗?~   



是的.

i387DX 发表于 2009-11-27 23:06:59

很好很强大!

andywyt 发表于 2009-11-29 00:11:06

嘿嘿~~~偶的预览图

http://cache.amobbs.com/bbs_upload782111/files_22/ourdev_508613.JPG
(原文件名:1.JPG)

http://cache.amobbs.com/bbs_upload782111/files_22/ourdev_508614.JPG
(原文件名:2.JPG)

tonyone 发表于 2009-11-30 14:36:45

做的很好啊!还没有玩过128*64,什么时候也买个来玩玩。

请问LZ图片中的自制信号源都有哪些功能,都能产生些什么信号,最高频率等等。能否开源一下?多谢!

andywyt 发表于 2009-11-30 14:51:57

1 楼有 程序和电路图~~~~~~

ywl0409 发表于 2009-11-30 20:19:55

【156楼】 tonyone

自制信号源使用了ATINY13和一片5613的DA转换,具体的输出信号是自己写不同的程序来实现的.

andywyt 发表于 2009-12-3 00:05:48

牛哥我的硬件基本焊出来了~~~
下了程序,发现12864显示不正常~~~

12864显示大体轮廓可以出来,但"参数显示"部分,全是大黑方框~~如下图~~

我用万用表二极管档测试硬件,所有的IO和12864都正常导通连接

但是发现PD6 PD7电压不正常 (就是12864的 D6 D7引脚电平很低,才0.6V左右),D0-D5都是5V~~

换个单片机问题依旧~~~~

晶振试了外接有源晶振(24M),也试了内置1M和8M的,还是一样

最后用PROTEUS仿真了下,发现仿真的时候也是PD6,PD7也是低电平~~如图:



http://cache.amobbs.com/bbs_upload782111/files_22/ourdev_510172.JPG
(原文件名:LCD.JPG)

http://cache.amobbs.com/bbs_upload782111/files_22/ourdev_510173.JPG
(原文件名:M8.JPG)

andywyt 发表于 2009-12-3 00:11:26

哦~~PD6 PD7是灰色~~~貌似是高阻~~~~~

可是程序应该没有问题啊~~~

你的HEX文件,我也下了试了.....也不行

andywyt 发表于 2009-12-3 00:15:19

忘了说了,屏控制器也是KS0108的~~~

这是屏的资料`~~


牛哥如果有空的话,希望能帮忙看下`~~~~~


点击此处下载 ourdev_510176.rar(文件大小:1.11M) (原文件名:15元液晶资料.rar)

andywyt 发表于 2009-12-3 01:01:27

刚才自己写了个IO 初始化程序~~~~

就让PD6,PD7 输出高电平, 用万用表测量下,可以变为高电平~~~~

基本排除硬件问题了~~~~

貌似是头文件中: LCD_Ready()函数导致的...

好像是把DDRD=0x00设为输入后,后面再DDRD=0xff ,PD6 PD7就出现异常了.....


牛哥帮忙给点建议吧~~~~~~呵呵.....

zhg_wx 发表于 2009-12-3 03:54:15

做的不错

ywl0409 发表于 2009-12-3 21:58:24

按理说,LCD中间已经有一根水平线了,显示应该是正常的.

第一,你可以调节垂直位移的电位器,看看水平线是否上下移动.
第二,你看看EEPROM的数据,是否下载到单片机中了.

andywyt 发表于 2009-12-3 22:09:49

哈哈…

牛哥就是牛哥…

估计是你说的第二个问题…

下载程序的时候没有选编程EEPROM……

明天考驾照,没在学校…

回学校马上试试……

andywyt 发表于 2009-12-5 20:37:31

恩,就是没有编程EEPROM的数据导致的~~~

已经显示正常了~~真是麻烦你了,这麽低级的错误~~~

另外牛哥能说下 BCD点参考电压的作用嘛...


http://cache.amobbs.com/bbs_upload782111/files_23/ourdev_511119.JPG
(原文件名:LM324.JPG)

ywl0409 发表于 2009-12-6 09:02:48

是信号前向通道的偏置电压.

jeffryhao 发表于 2009-12-10 22:59:40

会出PCB吗?

XMLK 发表于 2009-12-14 21:49:37

后面更正的原理图下载不了哦

最好把更正的资料放在一楼

Garbage614 发表于 2009-12-29 10:29:16

标记下

skyjackyer 发表于 2009-12-29 10:54:20

好东西呀。

RScs 发表于 2009-12-29 14:51:06

你好LZ,我也想做一个信号源,不知道你可不以可给我信号源的资料了,谢谢.Q:296954039

ywl0409 发表于 2009-12-29 19:00:26

#include <tiny13.h>
#include <math.h>
#include <delay.h>

#define DIN PORTB.2
#define CLK PORTB.1
#define FSPORTB.0
#define nop() #asm("nop")

void Set_DAC(unsigned int i)
{
unsigned char j;

i=(i>>4)+0b1101000000000000;

FS=0;
   for (j=0;j<16;j++)
    {
      CLK=1;
      if (i&0x8000) DIN=1;
       else DIN=0;
      i=i<<1;
      CLK=0;
      nop();      
    }
    CLK=1;
    FS=1;   
}

// Pin change interrupt service routine
interrupt void pin_change_isr(void)
{
// Place your code here

}

// Declare your global variables here

void main(void)
{
// Declare your local variables here

unsigned int x;
unsigned int i;

// Crystal Oscillator division factor: 1
#pragma optsize-
CLKPR=0x80;
CLKPR=0x00;
#ifdef _OPTIMIZE_SIZE_
#pragma optsize+
#endif

// Input/Output Ports initialization
// Port B initialization
// Func5=In Func4=In Func3=In Func2=Out Func1=Out Func0=Out
// State5=T State4=T State3=T State2=0 State1=0 State0=0
PORTB=0x00;
DDRB=0x07;


// External Interrupt(s) initialization
// INT0: Off
// Interrupt on any change on pins PCINT0-5: On
GIMSK=0x20;
MCUCR=0x00;
PCMSK=0x18;
GIFR=0x20;


// Global enable interrupts
#asm("sei")

x=0;
while (1)
      {
       // Place your code here
       if (i>16384) i=0;      
       Set_DAC(i);
       i=i+0xc0;
      };
}





这是用TINY13和TLV5616的原码,编译环境是CVAVR.各种信号波形要根据你的需要编写.希望对你有帮助.

akong 发表于 2009-12-29 21:39:46

155楼的图让我想起我之前做的游戏机了

19871212 发表于 2010-1-2 15:43:56

谢谢 分享了

i387DX 发表于 2010-2-15 06:05:19

最近在研究用Mega8+S6b0724屏DIY简易示波器,再次顶顶这个帖子,学习下……

cjc2010 发表于 2010-5-1 00:18:06

谢谢了,学习一下。

moon0213 发表于 2010-5-1 02:03:07

mark,谢谢

ouwen 发表于 2010-5-13 15:21:52

本人菜鸟,下载到EEPOM的文件是什么格式文件呢??

ouwen 发表于 2010-5-13 15:47:56

是不是.eep文件呢?能提供一个吗??

ywl0409 发表于 2010-5-14 18:48:12

点击此处下载 ourdev_554152.rar(文件大小:4K) (原文件名:DSO-KS0108-3.rar)

请参考.

ouwen 发表于 2010-5-15 13:15:07

回复【181楼】ywl0409 老黄牛
-----------------------------------------------------------------------
有波形,右边字符显示不正常,牛哥有空帮忙看看
http://cache.amobbs.com/bbs_upload782111/files_29/ourdev_554398.JPG
(原文件名:1.JPG)

http://cache.amobbs.com/bbs_upload782111/files_29/ourdev_554399.JPG
(原文件名:2.JPG)

ywl0409 发表于 2010-5-15 16:35:05

1.确认你的EEPROM已经下载进去了.
2.你先把单片机主频调低些,一直到内部1MHz试试看.

809314596 发表于 2010-5-15 17:56:11

mark

yqlomg 发表于 2010-5-15 18:10:39

不错

wj414 发表于 2010-5-15 18:17:16

脚印

super373 发表于 2010-5-15 19:45:08

有时间看看仿造一个~~~

yusufu 发表于 2010-5-19 09:54:54

这个一定要mark

darkstar 发表于 2010-5-19 11:20:51

学习一下。

leah 发表于 2010-5-29 16:51:35

学习了!

chenjianfeng 发表于 2010-6-12 20:18:57

请问怎样查看你的个人资料呢??

chenjianfeng 发表于 2010-6-13 22:59:09

晶振不是接PB6和PB7吗?怎么又接液晶的R/W了

sadf123 发表于 2010-6-14 07:36:53

LS 有源和无源的接法是不一样的.

chenjianfeng 发表于 2010-6-14 21:51:55

呵呵,楼主已经跟我解释了,

zhaoran 发表于 2010-7-26 17:15:51

请问黄牛哥你 图里面的U4 是LM324吗

ywl0409 发表于 2010-7-26 19:08:38

是的.

wilsonng 发表于 2010-9-24 08:14:38

THANKS.....

stm8s 发表于 2010-9-24 11:05:27

mark

apple9527 发表于 2010-10-20 11:22:10

这个成本是多少?

HYLG 发表于 2010-11-15 15:09:42

我也要盗版一个.

caoxuerji 发表于 2010-12-31 18:13:32

不错啊,做个标记

poet_lee 发表于 2011-1-1 16:00:42

不错 谢谢分享

jianjun42 发表于 2011-1-1 16:23:20

挺好的
页: 1 [2] 3
查看完整版本: M8做的示波器,有源代码。